Pranab Roy

Orcid: 0000-0002-9369-3146

According to our database1, Pranab Roy authored at least 51 papers between 2008 and 2023.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Personality is to a Conversational Agent What Perfume is to a Flower.
IEEE Consumer Electron. Mag., November, 2023

IoMT-Based Biochip-Integrated Point-of-Care Testing for Smart Healthcare.
IEEE Internet Things J., 2023

What Affects the Adoption of Metaverse in Education? A SEM-based Approach.
Proceedings of the 20th IEEE International Joint Conference on Computer Science and Software Engineering, 2023

Intelligent Transportation of Heterogeneous droplets in DMFB: A Preferential Deviation Based Technique.
Proceedings of the International Symposium on Devices, Circuits and Systems, 2023

2022
MEDA Based Biochips: Detection, Prevention and Rectification Techniques for Cyberphysical Attacks.
IEEE ACM Trans. Comput. Biol. Bioinform., 2022

How Perceptions of Trust and Intrusiveness Affect the Adoption of Voice Activated Personal Assistants.
IEEE Access, 2022

2021
Droplet Transportation in MEDA-Based Biochips: An Enhanced Technique for Intelligent Cross-Contamination Avoidance.
IEEE Trans. Very Large Scale Integr. Syst., 2021

2020
Microfluidic Cyberphysical Diagnostic System: An ANN Based Application.
Proceedings of the 3rd International Symposium on Devices, Circuits and Systems, 2020

2019
A High-performance Homogeneous Droplet Routing Technique for MEDA-based Biochips.
ACM J. Emerg. Technol. Comput. Syst., 2019

A Complete Routing Simulator for Digital Microfluidic Biochip.
Int. J. Inf. Syst. Model. Des., 2019

Micro-electrode-dot Array Based Biochips : Advantages of Using Different Shaped CMAs.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

2018
Homogeneous droplet routing in DMFB: An enhanced technique for high performance bioassay implementation.
Integr., 2018

Investigation a new technique of automatic variable key using two dimensional matrix approach to achieve perfect security.
Int. J. Commun. Networks Distributed Syst., 2018

Computing Fréchet Distance Metric Based L-Shape Tile Decomposition for E-Beam Lithography.
Proceedings of the 31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, 2018

An Angular Steiner Tree Based Global Routing Algorithm for Graphene Nanoribbon Circuit.
Proceedings of the VLSI Design and Test - 22nd International Symposium, 2018

2017
Hausdorff Distance Driven L-Shape Matching Based Layout Decomposition for E-Beam Lithography.
Proceedings of the VLSI Design and Test - 21st International Symposium, 2017

Adaptive medical detection system: An iterative averaging method for automated detection analysis using DMFBs.
Proceedings of the 7th International Symposium on Embedded Computing and System Design, 2017

2016
Novel Wire Planning Schemes for Pin Minimization in Digital Microfluidic Biochips.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Synthesis aware sample preparation techniques using random sample sets in DMFB.
Proceedings of the 20th International Symposium on VLSI Design and Test, 2016

VLSI thermal placement issues: A cooperative game theory based approach.
Proceedings of the Sixth International Symposium on Embedded Computing and System Design, 2016

Cross-reference EWOD driving scheme and cross-contamination aware net placement technique for MEDA based DMFBs.
Proceedings of the 2016 International Conference on Advances in Computing, 2016

An automated design of pin-constrained digital microfluidic biochip on MEDA architecture.
Proceedings of the 2016 International Conference on Advances in Computing, 2016

TSV-aware 3-D IC structural planning with irregular die-size.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

2015
3D integration in biochips: New proposed architectures for 3D applications in ATDA based digital microfluidic biochips.
Proceedings of the 10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2015

2014
A Novel Wire Planning Technique for Optimum Pin Utilization in Digital Microfluidic Biochips.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

A 45 uW 13 pJ/conv-step 7.4-ENOB 40 kS/s SAR ADC for digital microfluidic biochip applications.
Proceedings of the 18th International Symposium on VLSI Design and Test, 2014

Multilevel Homogeneous Detection Analyzer for Medical Diagnostic Application in Digital Microfluidic Biochips.
Proceedings of the 2014 Fifth International Symposium on Electronic System Design, 2014

Automated two stage detection and analyzer system in multipartitioned Digital Microfluidic Biochips.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

A new technique for layout based functional testing of modules in Digital Microfluidic Biochips.
Proceedings of the 2014 East-West Design & Test Symposium, 2014

A layout based customized testing technique for total microfluidic operations in digital microfluidic biochips.
Proceedings of the 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2014

2013
Built-in-self-test technique for diagnosis of delay faults in cluster-based field programmable gate arrays.
IET Comput. Digit. Tech., 2013

Bridging fault detection in cluster based FPGA by using Muller C element.
Comput. Electr. Eng., 2013

A New Method for Route Based Synthesis and Placement in Digital Microfluidic Biochips.
Proceedings of the VLSI Design and Test, 17th International Symposium, 2013

An Intelligent Biochip System for Diagnostic Process Flow Based Integration of Combined Detection Analyzer.
Proceedings of the 2013 International Symposium on Electronic System Design, 2013

Digital microfluidic system: A new design for heterogeneous sample based integration of multiple DMFBs.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Novel designs of digital detection analyzer for intelligent detection and analysis in digital microfluidic biochips.
Proceedings of the 8th International Design and Test Symposium, 2013

A new customized testing technique using a novel design of droplet motion detector for digital microfluidic Biochip systems.
Proceedings of the International Conference on Advances in Computing, 2013

A new cross contamination aware routing method with intelligent path exploration in digital microfluidic biochips.
Proceedings of the 8th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2013

2012
Two-level clustering-based techniques for intelligent droplet routing in digital microfluidic biochips.
Integr., 2012

A new digital analyzer for optically detected samples in Digital Microfluidic Biochips.
Proceedings of the 55th IEEE International Midwest Symposium on Circuits and Systems, 2012

A New Algorithm for Routing-Aware Net Placement in Cross-Referencing Digital Microfluidic Biochips.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012

System on Biochips: A New Design for Integration of Multiple DMFBs.
Proceedings of the International Symposium on Electronic System Design, 2012

An intelligent compaction technique for pin constrained routing in cross referencing digital microfluidic biochips.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012

A New Look Ahead Technique for Customized Testing in Digital Microfluidic Biochips.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

2011
A Group-Preferential Parallel-Routing Algorithm for Cross-Referencing Digital Microfluidic Biochips.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011

A Best Path Selection Based Parallel Router for DMFBs.
Proceedings of the International Symposium on Electronic System Design, 2011

Runtime Congestion and Crosstalk Aware Router for FPGA Using Jbits3.0 for Partial Reconfigurable Application.
Proceedings of the International Symposium on Electronic System Design, 2011

Fast high-performance algorithms for multi-pin droplet routing in digital microfluidic biochips.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

A Multi-pin Droplet Routing Algorithm for Digital Microfluidic Biochips.
Proceedings of the BIODEVICES 2011, 2011

2010
A novel droplet routing algorithm for digital microfluidic biochips.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

2008
On Line Testing of Single Feedback Bridging Fault in Cluster Based FPGA by Using Asynchronous Element.
Proceedings of the 14th IEEE International On-Line Testing Symposium (IOLTS 2008), 2008


  Loading...