Bhargab B. Bhattacharya

Orcid: 0000-0002-5890-2483

Affiliations:
  • Indian Statistical Institute, India


According to our database1, Bhargab B. Bhattacharya authored at least 306 papers between 1983 and 2024.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2007, "For contributions to testing and design of digital integrated circuits".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
On Managing Test-Time, Power, and Layer Assignment in 3D SoCs with Built-In-Self-Repair Modules.
Proceedings of the 37th International Conference on VLSI Design and 23rd International Conference on Embedded Systems, 2024

2023
Tracking patellar osteophytes to detect osteoarthritis.
Comput. methods Biomech. Biomed. Eng. Imaging Vis., September, 2023

Test Optimization in Memristor Crossbars Based on Path Selection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

2022
Demand-Driven Multi-Target Sample Preparation on Resource-Constrained Digital Microfluidic Biochips.
ACM Trans. Design Autom. Electr. Syst., 2022

Mixing Models as Integer Factorization: A Key to Sample Preparation With Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Efficient Regulation of Synthetic Biocircuits Using Droplet-Aliquot Operations on MEDA Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

DFT with Universal Test Set for All Missing Gate Faults in Reversible Circuits.
J. Circuits Syst. Comput., 2022

A Framework for Automated Correctness Checking of Biochemical Protocol Realizations on Digital Microfluidic Biochips.
CoRR, 2022

Improved Upper Bound on Independent Domination Number for Hypercubes.
CoRR, 2022

Easily-Verifiable Design of Non-Scan Sequential Machines for Conformance Checking.
Proceedings of the 35th International Conference on VLSI Design and 2022 21st International Conference on Embedded Systems, 2022

On the Construction of Planar Embedding for a Class of Orthogonal Polyhedra.
Proceedings of the Combinatorial Image Analysis - 21st International Workshop, 2022

2021
A survey of digital circuit testing in the light of machine learning.
WIREs Data Mining Knowl. Discov., 2021

Robust Multi-Target Sample Preparation on MEDA Biochips Obviating Waste Production.
ACM Trans. Design Autom. Electr. Syst., 2021

SIMOP: A SIMulation-Guided OPtimization Mechanism for Sample Preparation with Digital Microfluidic Biochip.
SN Comput. Sci., 2021

A framework for end-to-end verification for digital microfluidics.
Innov. Syst. Softw. Eng., 2021

Fast algorithms for test optimization of core based 3D SoC.
Integr., 2021

Sample Preparation Meets Farey Sequence: A New Design Technique for Free-Flowing Microfluidic Networks.
CoRR, 2021

2020
Harnessing the Granularity of Micro-Electrode-Dot-Array Architectures for Optimizing Droplet Routing in Biochips.
ACM Trans. Design Autom. Electr. Syst., 2020

Architectural Design of Flow-Based Microfluidic Biochips for Multi-Target Dilution of Biochemical Fluids.
ACM Trans. Design Autom. Electr. Syst., 2020

A Methodology for Root-Causing In-field Attacks on Microfluidic Executions.
Trans. Comput. Sci., 2020

Test Generation for Flow-Based Microfluidic Biochips With General Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Storage-Aware Algorithms for Dilution and Mixture Preparation With Flow-Based Lab-on-Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Current Comparator-Based Reconfigurable Adder and Multiplier on Hybrid Memristive Crossbar.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

Locating Open-Channels in Octagon Networks on Chip-Microprocessors.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

Sample Preparation with Free-Flowing Biochips using Microfluidic Binary-Tree Network.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

LoPher: SAT-Hardened Logic Embedding on Block Ciphers.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Performance-Aware Test Scheduling for Diagnosing Coexistent Channel Faults in Topology-Agnostic Networks-on-Chip.
ACM Trans. Design Autom. Electr. Syst., 2019

Predicting X-Sensitivity of Circuit-Inputs on Test-Coverage: A Machine-Learning Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Error-Oblivious Sample Preparation With Digital Microfluidic Lab-on-Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Optimization of Multi-Target Sample Preparation On-Demand With Digital Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Efficient Generation of Dilution Gradients With Digital Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Bone-Cancer Assessment and Destruction Pattern Analysis in Long-Bone X-ray Image.
J. Digit. Imaging, 2019

Maximal Defect-Free Component in Nanoscale Crossbar Circuits Amidst Stuck-Open and Stuck-Closed Faults.
J. Circuits Syst. Comput., 2019

Scheduling algorithms for reservoir- and mixer-aware sample preparation with microfluidic biochips.
Integr., 2019

A Low-Cost Test Solution for Reliable Communication in Networks-on-Chip.
J. Electron. Test., 2019

Dilution with Digital Microfluidic Biochips: How Unbalanced Splits Corrupt Target-Concentration.
CoRR, 2019

Structural feature analysis of the vascular network in retinal images.
Comput. methods Biomech. Biomed. Eng. Imaging Vis., 2019

Selective Sensitization of Useless Sneak-Paths for Test Optimization in Memristor-Arrays.
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019

Design of Continuous-Flow Lab-on-Chip with 3D Microfluidic Network for Sample Preparation.
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019

Flow-Based Passive Microfluidic Architecture for Homogeneous Mixing.
Proceedings of the 9th International Symposium on Embedded Computing and System Design, 2019

BioScan: Parameter-Space Exploration of Synthetic Biocircuits Using MEDA Biochips<sup>∗</sup>.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

A Prufer-Sequence Based Representation of Large Graphs for Structural Encoding of Logic Networks.
Proceedings of the ACM India Joint International Conference on Data Science and Management of Data, 2019

Fault Coverage of a Test Set on Structure-Preserving Siblings of a Circuit-Under-Test.
Proceedings of the 28th IEEE Asian Test Symposium, 2019

Factorization based dilution of biochemical fluids with micro-electrode-dot-array biochips.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

Debugging Errors in Microfluidic Executions.
Proceedings of the Advanced Computing and Systems for Security, 2019

Effect of Volumetric Split-Errors on Reactant-Concentration During Sample Preparation with Microfluidic Biochips.
Proceedings of the Advanced Computing and Systems for Security, 2019

2018
Reliability-Aware Test Methodology for Detecting Short-Channel Faults in On-Chip Networks.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Demand-Driven Single- and Multitarget Mixture Preparation Using Digital Microfluidic Biochips.
ACM Trans. Design Autom. Electr. Syst., 2018

Flexible Droplet Routing in Active Matrix-Based Digital Microfluidic Biochips.
ACM Trans. Design Autom. Electr. Syst., 2018

Concentration-Resilient Mixture Preparation with Digital Microfluidic Lab-on-Chip.
ACM Trans. Embed. Comput. Syst., 2018

Reliability Hardening Mechanisms in Cyber-Physical Digital-Microfluidic Biochips.
ACM J. Emerg. Technol. Comput. Syst., 2018

Robust In-Field Testing of Digital Microfluidic Biochips.
ACM J. Emerg. Technol. Comput. Syst., 2018

Test-Time Reduction for Power-Aware 3D-SoC.
Proceedings of the 31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, 2018

ATPG Binning and SAT-Based Approach to Hardware Trojan Detection for Safety-Critical Systems.
Proceedings of the Network and System Security - 12th International Conference, 2018

Detection of Osteoarthritis by Gap and Shape Analysis of Knee-Bone X-ray.
Proceedings of the Combinatorial Image Analysis - 19th International Workshop, 2018

Test generation for microfluidic fully programmable valve arrays (FPVAs) with heuristic acceleration.
Proceedings of the 2018 International Conference on IC Design & Technology, 2018

Storage-aware sample preparation using flow-based microfluidic Labs-on-Chip.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Multi-level droplet routing in active-matrix based digital-microfluidic biochips.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
COMEDI: Combinatorial Election of Diagnostic Vectors From Detection Test Sets for Logic Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Fast Estimation of Area-Coverage for Wireless Sensor Networks Based on Digital Geometry.
IEEE Trans. Multi Scale Comput. Syst., 2017

Dilution and Mixing Algorithms for Flow-Based Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Adaptation of Biochemical Protocols to Handle Technology-Change for Digital Microfluidics.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

On representing a simple polygon perceivable to a blind person.
Inf. Process. Lett., 2017

A linear-time algorithm to compute the triangular hull of a digital object.
Discret. Appl. Math., 2017

Does Rotation Influence the Estimated Contour Length of a Digital Object?
Proceedings of the Pattern Recognition and Machine Intelligence, 2017

Testing microfluidic Fully Programmable Valve Arrays (FPVAs).
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

On reliability hardening in cyber-physical digital-microfluidic biochips.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

Reservoir and mixer constrained scheduling for sample preparation on digital microfluidic biochips.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Error-Correcting Sample Preparation with Cyberphysical Digital Microfluidic Lab-on-Chip.
ACM Trans. Design Autom. Electr. Syst., 2016

Thermal-Aware Small-Delay Defect Testing in Integrated Circuits for Mitigating Overkill.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Fault Diagnosis for Leakage and Blockage Defects in Flow-Based Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

On the Characterization of Absentee-Voxels in a Spherical Surface and Volume of Revolution in $ℤ^<sup>3</sup>.
J. Math. Imaging Vis., 2016

Reversible Synthesis of Symmetric Functions with a Simple Regular Structure and Easy Testability.
ACM J. Emerg. Technol. Comput. Syst., 2016

Automatic Segmentation of Bones in X-ray Images Based on Entropy Measure.
Int. J. Image Graph., 2016

Simulation-based method for optimum microfluidic sample dilution using weighted mix-split of droplets.
IET Comput. Digit. Tech., 2016

On Fault-Tolerant Design of Exclusive-OR Gates in QCA.
CoRR, 2016

Long-bone fracture detection in digital X-ray images based on digital-geometric techniques.
Comput. Methods Programs Biomed., 2016

Power-aware test optimization for core-based 3D-SOCs under TSV-constraints.
Proceedings of the 2016 IFIP/IEEE International Conference on Very Large Scale Integration, 2016

On-line detection and diagnosis of stuck-at faults in channels of NoC-based systems.
Proceedings of the 2016 IEEE International Conference on Systems, Man, and Cybernetics, 2016

A topology-agnostic test model for link shorts in on-chip networks.
Proceedings of the 2016 IEEE International Conference on Systems, Man, and Cybernetics, 2016

One poison is antidote against another poison.
Proceedings of the 2016 IEEE International Conference on Systems, Man, and Cybernetics, 2016

Detecting and diagnosing open faults in NoC channels on activation of diagonal nodes.
Proceedings of the 2016 IEEE International Conference on Systems, Man, and Cybernetics, 2016

On the Inadmissible Class of Multiple-Valued Faulty-Functions under Stuck-at Faults.
Proceedings of the 46th IEEE International Symposium on Multiple-Valued Logic, 2016

High-speed decoder design using memristor-based nano-crossbar architecture.
Proceedings of the Sixth International Symposium on Embedded Computing and System Design, 2016

Design automation of multiple-demand mixture preparation using a K-array rotary mixer on digital microfluidic biochips.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

2015
Offline Washing Schemes for Residue Removal in Digital Microfluidic Biochips.
ACM Trans. Design Autom. Electr. Syst., 2015

Layout-Aware Mixture Preparation of Biochemical Fluids on Application-Specific Digital Microfluidic Biochips.
ACM Trans. Design Autom. Electr. Syst., 2015

Design and Optimization of a Cyberphysical Digital-Microfluidic Biochip for the Polymerase Chain Reaction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Waste-aware single-target dilution of a biochemical fluid using digital microfluidic biochips.
Integr., 2015

A Fast and Automated Granulometric Image Analysis Based on Digital Geometry.
Fundam. Informaticae, 2015

Guest Editors' Introduction: Microfluidics: Design and Test Solutions for Enabling Biochemistry on a Chip.
IEEE Des. Test, 2015

On finding a shortest isothetic path and its monotonicity inside a digital object.
Ann. Math. Artif. Intell., 2015

Fault diagnosis for flow-based microfluidic biochips.
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015

Enumeration of Shortest Isothetic Paths Inside a Digital Object.
Proceedings of the Pattern Recognition and Machine Intelligence, 2015

Design-for-testability in reversible logic circuits based on bit-swapping.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

2014
On-Chip Sample Preparation for Multiple Targets Using Digital Microfluidics.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

On the Suitability of Single-Walled Carbon Nanotube Bundle Interconnects for High-Speed and Power-Efficient Applications.
J. Low Power Electron., 2014

An Optimal Two-Mixer Dilution Engine with Digital Microfluidics for Low-Power Applications.
J. Low Power Electron., 2014

Theory and analysis of generalized mixing and dilution of biochemical fluids using digital microfluidic biochips.
ACM J. Emerg. Technol. Comput. Syst., 2014

High-throughput dilution engine for sample preparation on digital microfluidic biochips.
IET Comput. Digit. Tech., 2014

Sample preparation with multiple dilutions on digital microfluidic biochips.
IET Comput. Digit. Tech., 2014

On the family of shortest isothetic paths in a digital object - An algorithm with applications.
Comput. Vis. Image Underst., 2014

On Chord and Sagitta in Z<sup>2</sup>: An Analysis towards Fast and Robust Circular Arc Detection.
CoRR, 2014

On Covering a Solid Sphere with Concentric Spheres in ${\mathbb Z}^3$.
CoRR, 2014

Correctness Checking of Bio-chemical Protocol Realizations on a Digital Microfluidic Biochip.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

A Fast Digital-Geometric Approach for Granulometric Image Analysis.
Proceedings of the Recent Advances in Information Technology, 2014

A Combinatorial Technique for Construction of Triangular Covers of Digital Objects.
Proceedings of the Combinatorial Image Analysis - 16th International Workshop, 2014

Long-Bone Fracture Detection in Digital X-ray Images Based on Concavity Index.
Proceedings of the Combinatorial Image Analysis - 16th International Workshop, 2014

On Designing Robust Path-Delay Fault Testable Combinational Circuits Based on Functional Properties.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

A Graph-Based 3D IC Partitioning Technique.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Inadmissible Class of Boolean Functions under Stuck-at Faults.
Proceedings of the IEEE 44th International Symposium on Multiple-Valued Logic, 2014

Synthesis of Symmetric Boolean Functions Using a Three-Stage Network.
Proceedings of the 2014 Fifth International Symposium on Electronic System Design, 2014

Design automation for biochemistry synthesis on a digital microfluidic lab-on-a-chip.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Algorithmic Challenges in Digital Microfluidic Biochips: Protocols, Design, and Test.
Proceedings of the Applied Algorithms - First International Conference, 2014

Analysis of Concentration Errors in Sample Dilution Algorithms on a Digital Microfluidic Biochip.
Proceedings of the Applied Algorithms - First International Conference, 2014

A Digital-Geometric Algorithm for Generating a Complete Spherical Surface in ℤ3.
Proceedings of the Applied Algorithms - First International Conference, 2014

Demand-Driven Mixture Preparation and Droplet Streaming using Digital Microfluidic Biochips.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
On covering a digital disc with concentric circles in Z<sup>2</sup>.
Theor. Comput. Sci., 2013

On the Construction of Generalized Voronoi Inverse of a Rectangular Tessellation.
Trans. Comput. Sci., 2013

A combinatorial algorithm to construct 3D isothetic covers.
Int. J. Comput. Math., 2013

Algorithms for Producing Linear Dilution Gradient with Digital Microfluidics.
CoRR, 2013

Derivation of test set for detecting multiple missing-gate faults in reversible circuits.
Comput. Electr. Eng., 2013

On-Chip Dilution from Multiple Concentrations of a Sample Fluid Using Digital Microfluidics.
Proceedings of the VLSI Design and Test, 17th International Symposium, 2013

On Designing Testable Reversible Circuits Using Gate Duplication.
Proceedings of the VLSI Design and Test, 17th International Symposium, 2013

Reversible Circuit Synthesis of Symmetric Functions Using a Simple Regular Structure.
Proceedings of the Reversible Computation - 5th International Conference, 2013

Bone Contour Tracing in Digital X-ray Images Based on Adaptive Thresholding.
Proceedings of the Pattern Recognition and Machine Intelligence, 2013

Routing-aware resource allocation for mixture preparation in digital microfluidic biochips.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2013

Impact of Inductance on the Performance of Single Walled Carbon Nanotube Bundle Interconnects.
Proceedings of the 2013 International Symposium on Electronic System Design, 2013

On Producing Linear Dilution Gradient of a Sample with a Digital Microfluidic Biochip.
Proceedings of the 2013 International Symposium on Electronic System Design, 2013

Optimal Two-Mixer Scheduling in Dilution Engine on a Digital Microfluidic Biochip.
Proceedings of the 2013 International Symposium on Electronic System Design, 2013

Optimization of polymerase chain reaction on a cyberphysical digital microfluidic biochip.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Reversible synthesis of symmetric boolean functions based on unate decomposition.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

Efficient mixture preparation on digital microfluidic biochips.
Proceedings of the 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2013

2012
Congestion-aware layout design for high-throughput digital microfluidic biochips.
ACM J. Emerg. Technol. Comput. Syst., 2012

A linear-time combinatorial algorithm to find the orthogonal hull of an object on the digital plane.
Inf. Sci., 2012

Testing of Low-cost Digital Microfluidic Biochips with Non-Regular Array Layouts.
J. Electron. Test., 2012

A heuristic method for obstacle avoiding group Steiner tree construction.
Proceedings of the International Workshop on System Level Interconnect Prediction, 2012

On Finding Shortest Isothetic Path inside a Digital Object.
Proceedings of the Combinatorial Image Analysis - 15th International Workshop, 2012

Algorithms for On-Chip Solution Preparation Using Digital Microfluidic Biochips.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012

On-Chip Sample Preparation with Multiple Dilutions Using Digital Microfluidics.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012

Reversible Logic Circuit Synthesis Using Genetic Algorithm and Particle Swarm Optimization.
Proceedings of the International Symposium on Electronic System Design, 2012

Multiple Dilution Sample Preparation Using Digital Microfluidic Biochips.
Proceedings of the International Symposium on Electronic System Design, 2012

Low-Cost Dilution Engine for Sample Preparation in Digital Microfluidic Biochips.
Proceedings of the International Symposium on Electronic System Design, 2012

Circularity analysis of nano-scale structures in porous silicon images.
Proceedings of the Computational Modelling of Objects Represented in Images, 2012

Automated path planning for washing in digital microfluidic biochips.
Proceedings of the 2012 IEEE International Conference on Automation Science and Engineering, 2012

A New Look Ahead Technique for Customized Testing in Digital Microfluidic Biochips.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

On-Line Error Detection in Digital Microfluidic Biochips.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

2011
A Routing-Aware ILS Design Technique.
IEEE Trans. Very Large Scale Integr. Syst., 2011

On the representation of a digital contour with an unordered point set for visual perception.
J. Vis. Commun. Image Represent., 2011

On finding an orthogonal convex skull of a digital object.
Int. J. Imaging Syst. Technol., 2011

Efficient Word Segmentation and Baseline Localization in Handwritten Documents Using Isothetic Covers.
Int. J. Digit. Libr. Syst., 2011

Test Planning in Digital Microfluidic Biochips Using Efficient Eulerization Techniques.
J. Electron. Test., 2011

Fault diagnosis in reversible circuits under missing-gate fault model.
Comput. Electr. Eng., 2011

Layout-Aware Solution Preparation for Biochemical Analysis on a Digital Microfluidic Biochip.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

Entropy-Based Automatic Segmentation of Bones in Digital X-ray Images.
Proceedings of the Pattern Recognition and Machine Intelligence, 2011

Construction of 3D Orthogonal Cover of a Digital Object.
Proceedings of the Combinatorial Image Analysis - 14th International Workshop, 2011

Derivation of Automatic Test Set for Detection of Missing Gate Faults in Reversible Circuits.
Proceedings of the International Symposium on Electronic System Design, 2011

On residue removal in digital microfluidic biochips.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

Waste-aware dilution and mixing of biochemical samples with digital microfluidic biochips.
Proceedings of the Design, Automation and Test in Europe, 2011

Diagnosis of Multiple Scan-Chain Faults in the Presence of System Logic Defects.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
Optimization of Dilution and Mixing of Biochemical Samples Using Digital Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Construction of isothetic covers of a digital object: A combinatorial approach.
J. Vis. Commun. Image Represent., 2010

PVT: Unified Reduction of Test Power, Volume, and Test Time Using Double-Tree Scan Architecture.
J. Low Power Electron., 2010

Recognition of largest empty orthoconvex polygon in a point set.
Inf. Process. Lett., 2010

Understanding Digital Documents Using Gestalt Properties of Isothetic Components.
Int. J. Digit. Libr. Syst., 2010

Test pattern generation for droop faults.
IET Comput. Digit. Tech., 2010

Separating Multi-Color Points on a Plane with Fewest Axis-Parallel Lines.
Fundam. Informaticae, 2010

A Simple Algorithm for Approximate Partial Point Set Pattern Matching under Rigid Motion.
Proceedings of the WALCOM: Algorithms and Computation, 4th International Workshop, 2010

A Unified Solution to Scan Test Volume, Time, and Power Minimization.
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010

A BDD-based approach to design power-aware on-line detectors for digital circuits.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010

A BDD-Based Design of an Area-Power Efficient Asynchronous Adder.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010

Word Segmentation and Baseline Detection in Handwritten Documents Using Isothetic Covers.
Proceedings of the International Conference on Frontiers in Handwriting Recognition, 2010

Recognition of Hand-Drawn Graphs Using Digital-Geometric Techniques.
Proceedings of the International Conference on Frontiers in Handwriting Recognition, 2010

Testing of Digital Microfluidic Biochips Using Improved Eulerization Techniques and the Chinese Postman Problem.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

Derivation of Optimal Test Set for Detection of Multiple Missing-Gate Faults in Reversible Circuits.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

2009
Removal of digitization errors in fingerprint ridgelines using B-splines.
Pattern Recognit., 2009

Approximate Matching of Digital Point Sets Using a Novel Angular Tree.
IEEE Trans. Pattern Anal. Mach. Intell., 2009

Droop sensitivity of stuck-at fault tests.
IET Comput. Digit. Tech., 2009

Real Polygonal Covers of Digital Discs - Some Theories and Experiments.
Fundam. Informaticae, 2009

Testable design of AND-EXOR logic networks with universal test sets.
Comput. Electr. Eng., 2009

Algorithms for Biological Cell Sorting with a Lab-on-a-chip.
Proceedings of the World Congress on Nature & Biologically Inspired Computing, 2009

On Finding the Orthogonal Convex Skull of a Digital Object.
Proceedings of the Progress in Combinatorial Image Analysis. Proceedings of IWCIA 2009 Special Track on Applications, 2009

Digital Circularity and Its Applications.
Proceedings of the Combinatorial Image Analysis, 13th International Workshop, 2009

GOAL: Towards Understanding of Graphic Objects from Architectural to Line Drawings.
Proceedings of the Graphics Recognition. Achievements, 2009

Detection of Circular Arcs in a Digital Image Using Chord and Sagitta Properties.
Proceedings of the Graphics Recognition. Achievements, 2009

2008
An Adaptive BIST Design for Detecting Multiple Stuck-Open Faults in a CMOS Complex Cell.
IEEE Trans. Instrum. Meas., 2008

On the density and discrepancy of a 2D point set with applications to thermal analysis of VLSI chips.
Inf. Process. Lett., 2008

Planar Straight-Line Embedding of Double-Tree Scan Architecture on a Rectangular Grid.
Fundam. Informaticae, 2008

A New Probabilistic Approach for Fractal Based Image Compression.
Fundam. Informaticae, 2008

Number-theoretic interpretation and construction of a digital circle.
Discret. Appl. Math., 2008

Archival image indexing with connectivity features using randomized masks.
Appl. Soft Comput., 2008

On the Detection of Missing-Gate Faults in Reversible Circuits by a Universal Test Set.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Finding the Orthogonal Hull of a Digital Object: A Combinatorial Approach.
Proceedings of the Combinatorial Image Analysis, 12th International Workshop, 2008

Digital Microfluidic Biochips: Design and Testing.
Proceedings of the IEEE Reglon 10 Colloquium and Third International Conference on Industrial and Information Systems, 2008

Extraction of regions of interest from face images using cellular analysis.
Proceedings of the 1st Bangalore Annual Compute Conference, Compute 2008, 2008

Accelerated Functional Testing of Digital Microfluidic Biochips.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
Hierarchical partitioning of VLSI floorplans by staircases.
ACM Trans. Design Autom. Electr. Syst., 2007

An Efficient Scan Tree Design for Compact Test Pattern Set.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Stacked Euler Vector (SERVE): A Gray-Tone Image Feature Based on Bit-Plane Augmentation.
IEEE Trans. Pattern Anal. Mach. Intell., 2007

Fast Polygonal Approximation of Digital Curves Using Relaxed Straightness Properties.
IEEE Trans. Pattern Anal. Mach. Intell., 2007

A Co-processor for Computing the Euler Number of a Binary Image using Divide-and-Conquer Strategy.
Fundam. Informaticae, 2007

A Local Search Heuristic for Biobjective Intersecting Geometric Graphs.
Proceedings of the 2007 International Conference on Computing: Theory and Applications (ICCTA 2007), 2007

Characterization of Isothetic Polygons for Image Indexing and Retrieval.
Proceedings of the 2007 International Conference on Computing: Theory and Applications (ICCTA 2007), 2007

Ranking of Optical Character Prototypes in a Large Database Using Isothetic Chord Lengths.
Proceedings of the 2007 International Conference on Computing: Theory and Applications (ICCTA 2007), 2007

ICE: The Isothetic Convex Envelope of a Digital Object.
Proceedings of the 2007 International Conference on Computing: Theory and Applications (ICCTA 2007), 2007

Optimum Test Set for Bridging Fault Detection in Reversible Circuits.
Proceedings of the 16th Asian Test Symposium, 2007

2006
Simple algorithms for partial point set pattern matching under rigid motion.
Pattern Recognit., 2006

Implementing Symmetric Functions with Hierarchical Modules for Stuck-At and Path-Delay Fault Testability.
J. Electron. Test., 2006

Test Pattern Generation for Power Supply Droop Faults.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Solving Thermal Problems of Hot Chips Using Voronoi Diagrams.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Recovery-Based Real-Time Static Scheduling for Battery Life Optimization.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

PACE: Polygonal Approximation of Thick Digital Curves Using Cellular Envelope.
Proceedings of the Computer Vision, Graphics and Image Processing, 5th Indian Conference, 2006

On finding the minimum test set of a BDD-based circuit.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

Biobjective evolutionary and heuristic algorithms for intersection of geometric graphs.
Proceedings of the Genetic and Evolutionary Computation Conference, 2006

Low Power BDD-based Synthesis Using Dual Rail Static DCVSPG Logic.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006

2005
Euler vector for search and retrieval of gray-tone images.
IEEE Trans. Syst. Man Cybern. Part B, 2005

A pipeline architecture for computing the Euler number of a binary image.
J. Syst. Archit., 2005

Determination of Minutiae Scores for Fingerprint Image Applications.
Int. J. Image Graph., 2005

On Finding Consecutive Test Vectors in a Random Sequence for Energy-Aware BIST Design.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Hot Spots and Zones in a Chip: A Geometrician's View.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

TIPS: On Finding a Tight Isothetic Polygonal Shape Covering a 2D Object.
Proceedings of the Image Analysis, 14th Scandinavian Conference, 2005

A Hybrid Data and Space Partitioning Technique for Similarity Queries on Bounded Clusters.
Proceedings of the Pattern Recognition and Machine Intelligence, 2005

Isothetic Polygonal Approximations of a 2D Object on Generalized Grid.
Proceedings of the Pattern Recognition and Machine Intelligence, 2005

A programmable built-in self-test for embedded DRAMs.
Proceedings of the 13th IEEE International Workshop on Memory Technology, 2005

Reconstruction of torn documents using contour maps.
Proceedings of the 2005 International Conference on Image Processing, 2005

Approximation of Digital Circles by Regular Polygons.
Proceedings of the Pattern Recognition and Data Mining, 2005

MUSC: Multigrid Shape Codes and Their Applications to Image Retrieval.
Proceedings of the Computational Intelligence and Security, International Conference, 2005

Density or Discrepancy: A VLSI Designer's Dilemma in Hot Spot Analysis.
Proceedings of the 17th Canadian Conference on Computational Geometry, 2005

Efficient Test Compaction for Pseudo-Random Testing.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

CryptoScan: A Secured Scan Chain Architecture.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

2004
Manhattan-diagonal routing in channels and switchboxes.
ACM Trans. Design Autom. Electr. Syst., 2004

A New Classification of Path-Delay Fault Testability in Terms of Stuck-at Faults.
J. Comput. Sci. Technol., 2004

On Finding A Staircase Channel With Minimum Crossing Nets In A VLSI Floorplan.
J. Circuits Syst. Comput., 2004

Physical Design Trends and Layout-Based Fault Modeling.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Easily Testable Realization of GRM and ESOP Networks for Detecting Stuck-at and Bridging Faults.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

CONFERM: Connectivity Features with Randomized Masks and Their Applications to Image Indexing.
Proceedings of the ICVGIP 2004, 2004

CODE: An Adaptive Algorithm for Detecting Corners and Directions of Incident Edges.
Proceedings of the ICVGIP 2004, 2004

Approximate Fingerprint Matching Using Kd-Tree.
Proceedings of the 17th International Conference on Pattern Recognition, 2004

Testable design of GRM network with EXOR-tree for detecting stuck-at and bridging faults.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
Zero-Aliasing Space Compaction of Test Responses Using a Single Periodic Output.
IEEE Trans. Computers, 2003

Permutation routing in optical MIN with minimum number of stages.
J. Syst. Archit., 2003

On finding an empty staircase polygon of largest area (width) in a planar point-set.
Comput. Geom., 2003

Low-Energy BIST Design for Scan-based Logic Circuits.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Double-Tree Scan: A Novel Low-Power Scan-Path Architecture.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

An Improved Algorithm for Point Set Pattern Matching under Rigid Motion.
Proceedings of the Algorithms and Complexity, 5th Italian Conference, 2003

Mapping Symmetric Functions to Hierarchical Modules for Path-Delay Fault Testability.
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003

2002
Monotone bipartitioning problem in a planar point set with applications to VLSI.
ACM Trans. Design Autom. Electr. Syst., 2002

Synthesis of single-output space compactors for scan-based sequential circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

BIST Design for Detecting Multiple Stuck-Open Faults in CMOS Circuits Using Transition Count.
J. Comput. Sci. Technol., 2002

A Simple Architecture for Computing Moments and Orientation of an Image.
Fundam. Informaticae, 2002

A New Synthesis of Symmetric Functions.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Euler Vector: A Combinatorial Signature for Gray-Tone Images.
Proceedings of the 2002 International Symposium on Information Technology (ITCC 2002), 2002

Combinatorial Classification of Pixels for Ridge Extraction in a Gray-Scale Fingerprint Image.
Proceedings of the ICVGIP 2002, 2002

Content based image retrieval: related issues using Euler vector.
Proceedings of the 2002 International Conference on Image Processing, 2002

2001
Searching networks with unrestricted edge costs.
IEEE Trans. Syst. Man Cybern. Part A, 2001

Design of Parameterizable Error-Propagating Space Compactors for Response Observation.
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001

Partitioning Routing Area into Zones with Distinct Pins.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Testable Design of Sequential Circuits with Improved Fault Efficiency.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Fractal Image Compression Using Iterated Function System with Probabilities.
Proceedings of the 2001 International Symposium on Information Technology (ITCC 2001), 2001

Area(number)-balanced hierarchy of staircase channels with minimum crossing nets.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

On-chip computation of Euler number of a binary image for efficient database search.
Proceedings of the 2001 International Conference on Image Processing, 2001

Synthesis of single-output space compactors with application to scan-based IP cores.
Proceedings of ASP-DAC 2001, 2001

2000
Synthesis of symmetric functions for path-delay fault testability.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Isomorph-Redundancy in Sequential Circuits.
IEEE Trans. Computers, 2000

Safety Zone Problem.
J. Algorithms, 2000

A Fast Algorithm for Computing the Euler Number of an Image and its VLSI Implementation.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

Topological Routing Amidst Polygonal Obstacles.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

Zero-Aliasing Space Compression using a Single Periodic Output and its Application to Testing of Embedded Cores.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

1999
A Complete Characterization of Path Delay Faults through Stuck-at Faults.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

High Performance MCM Routing: A New Approach.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

Synthesis of Symmetric Functions for Path-Delay Fault Testability.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

An Adaptive BIST to Detect Multiple Stuck-Open Faults in CMOS circuits.
Proceedings of the 1999 Conference on Asia South Pacific Design Automation, 1999

1998
A unified approach to topology generation and optimal sizing of floorplans.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Partitioning VLSI Floorplans by Staircase Channels for Global Routing.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

Routing of L-Shaped Channels, Switchboxes and Staircases in Manhattan-Diagonal Model.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

Design of an Optimal Test Pattern Generator for Built-in Self Testing of Path Delay Faults.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

Permutation admissibility in shuffle-exchange networks with arbitrary number of stages.
Proceedings of the 5th International Conference On High Performance Computing, 1998

Interchangeable Boolean Functions and Their Effects on Redundancy in Logic Circuits.
Proceedings of the ASP-DAC '98, 1998

1997
New BIST Techniques for Universal and Robust Testing of CMOS Stuck-Open Faults.
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997

1996
A Methodology for Testing Arbitrary Bilateral Bit-Level Systolic Arrays.
VLSI Design, 1996

Geometric bipartitioning problem and its applications to VLSI.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

Does retiming affect redundancy in sequential circuits?
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

Channel routing in Manhattan-diagonal model.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

Shooter Location Problem.
Proceedings of the 8th Canadian Conference on Computational Geometry, 1996

1995
VLSI floorplan generation and area optimization using AND-OR graph search.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

A unified approach to topology generation and area optimization of general floorplans.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

Testable design of non-scan sequential circuits using extra logic.
Proceedings of the 4th Asian Test Symposium (ATS '95), 1995

1994
Hierarchical Classification of Permutation Classes in Multistage Interconnection Networks.
IEEE Trans. Computers, 1994

Location of the Largest Empty Rectangle among Arbitrary Obstacles.
Proceedings of the Foundations of Software Technology and Theoretical Computer Science, 1994

1993
Isomorphism of Conflict Graphs in Multistage Interconnection Networks and Its Application to Optimal Routing.
IEEE Trans. Computers, 1993

Logical redundancies in irredundant combinational circuits.
J. Electron. Test., 1993

Via Minimization in Channel Routing by Layout Modification.
Proceedings of the Sixth International Conference on VLSI Design, 1993

1992
Canonical Embedding of Rectangular Duals with Applications to VLSI Floorplanning.
Proceedings of the 29th Design Automation Conference, 1992

1991
On the Testable Design of Bilateral Bit-Level Systolic Arrays.
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991

The Cycle Structure of Channel Graphs in Nonslicible Floorplans and A Unified Algorithm for Feasible Routing Order.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

1990
Efficient algorithms for Identifying All Maximal Isothetic Empty Rectangles in VLSI Layout Design.
Proceedings of the Foundations of Software Technology and Theoretical Computer Science, 1990

1989
Via minimization in VLSI routing with movable terminals.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989

Design of Parity Testable Combinational Circuits.
IEEE Trans. Computers, 1989

1988
A fast fault simulation algorithm for combinational circuits.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988

Inherent Nonslicibility of Rectangular Duals in VLSI Floorplanning.
Proceedings of the Foundations of Software Technology and Theoretical Computer Science, 1988

1986
A Parallel Algorithm to Compute the Shortest Paths and Diameter of a Graph and Its VLSI Implementation.
IEEE Trans. Computers, 1986

On the Impossible Class of Faulty Functions in Logic Networks Under Short Circuit Faults.
IEEE Trans. Computers, 1986

1985
On the Numerical Complexity of Short-Circuit Faults in Logic Networks.
IEEE Trans. Computers, 1985

1984
Logical Modeling of Physical Failures and Their Inherent Syndrome Testability in MOS LSI/VLSI Networks.
Proceedings of the Proceedings International Test Conference 1984, 1984

Heuristic Search Approach to Optimal Routing in a Distributed Architecture.
Proceedings of the Foundations of Software Technology and Theoretical Computer Science, 1984

1983
Syndrome Testable Design of Combinational Networks for Detecting Stuck-At and Bridging Faults.
Proceedings of the Proceedings International Test Conference 1983, 1983


  Loading...