Weixiong Jiang

Orcid: 0000-0002-6014-6453

According to our database1, Weixiong Jiang authored at least 17 papers between 2019 and 2023.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
AOS: An Automated Overclocking System for High-Performance CNN Accelerator Through Timing Delay Measurement on FPGA.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., September, 2023

A High-Throughput Full-Dataflow MobileNetv2 Accelerator on Edge FPGA.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2023

2022
FODM: A Framework for Accurate Online Delay Measurement Supporting All Timing Paths in FPGA.
IEEE Trans. Very Large Scale Integr. Syst., 2022

A Reliable 8T SRAM for High-Speed Searching and Logic-in-Memory Operations.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Quality Optimization of Adaptive Applications via Deep Reinforcement Learning in Energy Harvesting Edge Devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Wide Residual Relation Network-Based Intelligent Fault Diagnosis of Rotating Machines with Small Samples.
Sensors, 2022

WSQ-AdderNet: Efficient Weight Standardization Based Quantized AdderNet FPGA Accelerator Design with High-Density INT8 DSP-LUT Co-Packing Optimization.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

2021
A Novel Method for Mechanical Fault Diagnosis of Underwater Pump Motors Based on Power Flow Theory.
IEEE Trans. Instrum. Meas., 2021

A 55nm, 0.4V 5526-TOPS/W Compute-in-Memory Binarized CNN Accelerator for AIoT Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

TAIT: One-Shot Full-Integer Lightweight DNN Quantization via Tunable Activation Imbalance Transfer.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Efficient FPGA Implementation of K-Nearest-Neighbor Search Algorithm for 3D LIDAR Localization and Mapping in Smart Vehicles.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

Time-Frequency Distribution Map-Based Convolutional Neural Network (CNN) Model for Underwater Pipeline Leakage Detection Using Acoustic Signals.
Sensors, 2020

An Accurate FPGA Online Delay Monitor Supporting All Timing Paths.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

DVFS-Based Scrubbing Scheduling for Reliability Maximization on Parallel Tasks in SRAM-based FPGAs.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Enabling Fine-Grained Dynamic Voltage and Frequency Scaling in SDSoC.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

Early Development of Infant Brain Complex Network.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2019, 2019

Energy Efficiency Optimization of FPGA-based CNN Accelerators with Full Data Reuse and VFS.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019


  Loading...