Zigang Xiao

According to our database1, Zigang Xiao authored at least 19 papers between 2010 and 2016.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2016
Contact layer decomposition to enable DSA with multi-patterning technique for standard cell based layout.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Design automation algorithms for advanced lithography
PhD thesis, 2015

Layout optimization and template pattern verification for directed self-assembly (DSA).
Proceedings of the 52nd Annual Design Automation Conference, 2015

Contact pitch and location prediction for Directed Self-Assembly template verification.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

An efficient linear time triple patterning solver.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Triple patterning aware detailed placement with constrained pattern assignment.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Directed Self-Assembly (DSA) Template Pattern Verification.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
A Polynomial Time Exact Algorithm for Overlay-Resistant Self-Aligned Double Patterning (SADP) Layout Decomposition.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Optimally minimizing overlay violation in self-aligned double patterning decomposition for row-based standard cell layout in polynomial time.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Constrained pattern assignment for standard cell based triple patterning lithography.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

2012
Algorithmic study on the routing reliability problem.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

A polynomial time exact algorithm for self-aligned double patterning layout decomposition.
Proceedings of the International Symposium on Physical Design, 2012

Efficient parallel power grid analysis via Additive Schwarz Method.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

A polynomial time triple patterning algorithm for cell based row-structure layout.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

2011
Placement and Routing for Cross-Referencing Digital Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

2010
Droplet-routing-aware module placement for cross-referencing biochips.
Proceedings of the 2010 International Symposium on Physical Design, 2010

Improving redundancy addition and removal using unreachable states for sequential circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Local clock skew minimization using blockage-aware mixed tree-mesh clock network.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

CrossRouter: a droplet router for cross-referencing digital microfluidic biochips.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010


  Loading...