Borislav Nikolic

According to our database1, Borislav Nikolic authored at least 32 papers between 2011 and 2021.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
Worst-case traversal time analysis of TSN with multi-level preemption.
J. Syst. Archit., 2021

An exact comparison of global, partitioned, and semi-partitioned fixed-priority real-time multiprocessor schedulers.
J. Syst. Archit., 2021

2020
Challenges and Limitations of IEEE 802.1CB-2017.
IEEE Embed. Syst. Lett., 2020

Safe Online Reconfiguration of Mixed-Criticality Real-Time Systems.
Proceedings of the 25th IEEE Pacific Rim International Symposium on Dependable Computing, 2020

Multi-Level Preemption in TSN: Feasibility and Requirements Analysis.
Proceedings of the 23rd IEEE International Symposium on Real-Time Distributed Computing, 2020

2019
Extensive Analysis of a Real-Time Dense Wired Sensor Network Based on Traffic Shaping.
ACM Trans. Cyber Phys. Syst., 2019

Real-time analysis of priority-preemptive NoCs with arbitrary buffer sizes and router delays.
Real Time Syst., 2019

Slack-based Traffic Shaping for Real-time Ethernet Networks.
Proceedings of the 25th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2019

Slot-Based Transmission Protocol for Real-Time NoCs - SBT-NoC.
Proceedings of the 31st Euromicro Conference on Real-Time Systems, 2019

Increasing Accuracy of Timing Models: From CPA to CPA+.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Supporting Dynamic Voltage and Frequency Scaling in Networks-On-Chip for Hard Real-Time Systems.
Proceedings of the 24th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2018

Buffer-aware bounds to multi-point progressive blocking in priority-preemptive NoCs.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Erratum to: Optimal minimal routing and priority assignment for priority-preemptive real-time NoCs.
Real Time Syst., 2017

Optimal minimal routing and priority assignment for priority-preemptive real-time NoCs.
Real Time Syst., 2017

Real-time dense wired sensor network based on traffic shaping.
Proceedings of the 23rd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2017

Partitioning and Analysis of the Network-on-Chip on a COTS Many-Core Platform.
Proceedings of the 2017 IEEE Real-Time and Embedded Technology and Applications Symposium, 2017

2016
Worst-Case Communication Delay Analysis for NoC-Based Many-Cores Using a Limited Migrative Model.
J. Signal Process. Syst., 2016

A Tighter Real-Time Communication Analysis for Wormhole-Switched Priority-Preemptive NoCs.
CoRR, 2016

Analysis of buffering effects on hard real-time priority-preemptive wormhole networks.
CoRR, 2016

On Routing Flexibility of Wormhole-Switched Priority-Preemptive NoCs.
Proceedings of the 22nd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2016

Contention-Free Execution of Automotive Applications on a Clustered Many-Core Platform.
Proceedings of the 28th Euromicro Conference on Real-Time Systems, 2016

2015
Many-Core Platforms in the Real-Time Embedded Computing Domain
PhD thesis, 2015

Real-time application mapping for many-cores using a limited migrative model.
Real Time Syst., 2015

Towards Realistic Core-Failure-Resilient Scheduling and Analysis.
Proceedings of the 2015 IEEE Real-Time Systems Symposium, 2015

Hard Real-Time Multiprocessor Scheduling Resilient to Core Failures.
Proceedings of the 21st IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2015

2014
NoC contention analysis using a branch-and-prune algorithm.
ACM Trans. Embed. Comput. Syst., 2014

Worst-case communication delay analysis for many-cores using a Limited Migrative Model.
Proceedings of the 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, 2014

EDF as an arbitration policy for wormhole-switched priority-preemptive NoCs - Myth or fact?
Proceedings of the 2014 International Conference on Embedded Software, 2014

2013
Are virtual channels the bottleneck of priority-aware wormhole-switched NoC-based many-cores?
Proceedings of the 21st International Conference on Real-Time Networks and Systems, 2013

Worst-case memory traffic analysis for many-cores using a limited migrative model.
Proceedings of the 2013 IEEE 19th International Conference on Embedded and Real-Time Computing Systems and Applications, 2013

2012
Towards network-on-chip agreement protocols.
Proceedings of the 12th International Conference on Embedded Software, 2012

2011
SPARTS: Simulator for Power Aware and Real-Time Systems.
Proceedings of the IEEE 10th International Conference on Trust, 2011


  Loading...