Muhammad Ali Awan

Orcid: 0000-0001-5817-2284

Affiliations:
  • Polytechnic Institute of Porto, Portugal


According to our database1, Muhammad Ali Awan authored at least 29 papers between 2011 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2022
Response time analysis of memory-bandwidth-regulated multiframe mixed-criticality systems.
J. Syst. Archit., 2022

Schedulability analysis for CAN bus messages of periodically-varying size.
Proceedings of the 18th IEEE International Conference on Factory Communication Systems, 2022

Cache-aware Schedulability Analysis of PREM Compliant Tasks.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Response time analysis of multiframe mixed-criticality systems with arbitrary deadlines.
Real Time Syst., 2021

2019
Techniques and Analysis for Mixed-criticality Scheduling with Mode-dependent Server Execution Budgets.
ACM Trans. Embed. Comput. Syst., 2019

Uneven memory regulation for scheduling IMA applications on multi-core platforms.
Real Time Syst., 2019

Response time analysis of multiframe mixed-criticality systems.
Proceedings of the 27th International Conference on Real-Time Networks and Systems, 2019

Memory Bandwidth Regulation for Multiframe Task Sets.
Proceedings of the 25th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2019

2018
Worst-case Stall Analysis for Multicore Architectures with Two Memory Controllers (Artifact).
Dagstuhl Artifacts Ser., 2018

Mixed-Criticality Scheduling with Dynamic Memory Bandwidth Regulation.
Proceedings of the 24th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2018

Worst-case Stall Analysis for Multicore Architectures with Two Memory Controllers.
Proceedings of the 30th Euromicro Conference on Real-Time Systems, 2018

Mixed-criticality scheduling with memory bandwidth regulation.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Mixed-Criticality Scheduling with Dynamic Redistribution of Shared Cache.
Proceedings of the 29th Euromicro Conference on Real-Time Systems, 2017

Semi-partitioned Mixed-Criticality Scheduling.
Proceedings of the Architecture of Computing Systems - ARCS 2017, 2017

2016
Energy-aware task mapping onto heterogeneous platforms using DVFS and sleep states.
Real Time Syst., 2016

Online slack consolidation in global-EDF for energy consumption minimisation.
J. Syst. Archit., 2016

Energy efficient mapping of mixed criticality applications on unrelated heterogeneous multicore platforms.
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016

2015
TEA: Timing and Energy Aware compression architecture for Efficient Configuration in CGRAs.
Microprocess. Microsystems, 2015

Intra-task device scheduling for real-time embedded systems.
J. Syst. Archit., 2015

Energy-Aware Task Allocation onto Unrelated Heterogeneous Multicore Platform for Mixed Criticality Systems.
Proceedings of the 2015 IEEE Real-Time Systems Symposium, 2015

2014
Energy and Temperature Aware Real-Time Systems
PhD thesis, 2014

Race-to-halt energy saving strategies.
J. Syst. Archit., 2014

2013
Identifying the sources of unpredictability in COTS-based multicore systems.
Proceedings of the 8th IEEE International Symposium on Industrial Embedded Systems, 2013

Optimal procrastination interval for constrained deadline sporadic tasks upon uniprocessors.
Proceedings of the 21st International Conference on Real-Time Networks and Systems, 2013

On the equivalence of idealised DVFS and thermally constrained DPM in real-time systems.
Proceedings of the 2013 IEEE 19th International Conference on Embedded and Real-Time Computing Systems and Applications, 2013

Energy-aware partitioning of tasks onto a heterogeneous multi-core platform.
Proceedings of the 19th IEEE Real-Time and Embedded Technology and Applications Symposium, 2013

2012
Online intra-task device scheduling for hard real-time systems.
Proceedings of the 7th IEEE International Symposium on Industrial Embedded Systems, 2012

2011
SPARTS: Simulator for Power Aware and Real-Time Systems.
Proceedings of the IEEE 10th International Conference on Trust, 2011

Enhanced Race-To-Halt: A Leakage-Aware Energy Management Approach for Dynamic Priority Systems.
Proceedings of the 23rd Euromicro Conference on Real-Time Systems, 2011


  Loading...