Leandro Soares Indrusiak

Orcid: 0000-0002-9938-2920

Affiliations:
  • University of York, UK


According to our database1, Leandro Soares Indrusiak authored at least 173 papers between 1998 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Resilient Edge: Building an Adaptive and Resilient Multi-Communication Network for IoT Edge Using LPWAN and WiFi.
IEEE Trans. Netw. Serv. Manag., September, 2023

Real-Time Guarantees in Routerless Networks-on-Chip.
ACM Trans. Embed. Comput. Syst., September, 2023

Distributed Data Locality-Aware Job Allocation.
Proceedings of the SC '23 Workshops of The International Conference on High Performance Computing, 2023

2022
Resilient Edge: Can we achieve Network Resiliency at the IoT Edge using LPWAN and WiFi?
CoRR, 2022

2021
Multi-Objective parameter-less population pyramid for solving industrial process planning problems.
Swarm Evol. Comput., 2021

Multi-objective parameter-less population pyramid in solving the real-world and theoretical problems.
Proceedings of the GECCO '21: Genetic and Evolutionary Computation Conference, 2021

2020
Latency and Lifetime Enhancements in Industrial Wireless Sensor Networks: A Q-Learning Approach for Graph Routing.
IEEE Trans. Ind. Informatics, 2020

The AirTight Protocol for Mixed Criticality Wireless CPS.
ACM Trans. Cyber Phys. Syst., 2020

Scalable distributed evolutionary algorithm orchestration using Docker containers.
J. Comput. Sci., 2020

Process planning and scheduling optimisation with alternative recipes.
Autom., 2020

A Reliable and Low-Latency Graph-Routing Approach for IWSN using Q-Routing.
Proceedings of the X Brazilian Symposium on Computing Systems Engineering, 2020

A Novel Flow Control Mechanism to Avoid Multi-Point Progressive Blocking in Hard Real-Time Priority-Preemptive NoCs.
Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium, 2020

Management of container-based genetic algorithm workloads over cloud infrastructure.
Proceedings of the 17th ACM International Conference on Computing Frontiers, 2020

2019
Extensive Analysis of a Real-Time Dense Wired Sensor Network Based on Traffic Shaping.
ACM Trans. Cyber Phys. Syst., 2019

Real-time analysis of priority-preemptive NoCs with arbitrary buffer sizes and router delays.
Real Time Syst., 2019

Side-channel protected MPSoC through secure real-time networks-on-chip.
Microprocess. Microsystems, 2019

Value-driven Manufacturing Planning using Cloud-based Evolutionary Optimisation.
CoRR, 2019

An XML-based Factory Description Language for Smart Manufacturing Plants in Industry 4.0.
CoRR, 2019

Integrated Process Planning and Scheduling in Commercial Smart Kitchens.
CoRR, 2019

Proceedings of the International Workshop on Reconfigurable and Communication-centric Cyber-Physical Systems (ReCoCyPS 2019).
CoRR, 2019

Evolutionary Optimisation of Real-Time Systems and Networks.
CoRR, 2019

Performance evaluation of HEVC RCL applications mapped onto NoC-based embedded platforms.
Proceedings of the 32nd Symposium on Integrated Circuits and Systems Design, 2019

Synthesizing Real-Time Schedulability Tests using Evolutionary Algorithms: A Proof of Concept.
Proceedings of the IEEE Real-Time Systems Symposium, 2019

Validating high level simulation results against experimental data and low level simulation: a case study.
Proceedings of the 27th International Conference on Real-Time Networks and Systems, 2019

Design Space Exploration of HEVC RCL Mapped onto NoC-Based Embedded Platforms.
Proceedings of the 14th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, 2019

Implementing Digital Twins of Smart Factories with Interval Algebra.
Proceedings of the IEEE International Conference on Industrial Technology, 2019

Cloud-Based Integrated Process Planning and Scheduling Optimisation via Asynchronous Islands.
Proceedings of the Economics of Grids, Clouds, Systems, and Services, 2019

Cloud-based dynamic distributed optimisation of integrated process planning and scheduling in smart factories.
Proceedings of the Genetic and Evolutionary Computation Conference, 2019

Solving the Multi-objective Flexible Job-Shop Scheduling Problem with Alternative Recipes for a Chemical Production Process.
Proceedings of the Applications of Evolutionary Computation, 2019

2018
An extensible framework for multicore response time analysis.
Real Time Syst., 2018

Impact of memory frequency scaling on user-centric smartphone workloads.
Proceedings of the 33rd Annual ACM Symposium on Applied Computing, 2018

AirTight: A Resilient Wireless Communication Protocol for Mixed-Criticality Systems.
Proceedings of the 24th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2018

Memory-Aware Genetic Algorithms for Task Mapping on Hard Real-Time Networks-on-Chip.
Proceedings of the 26th Euromicro International Conference on Parallel, 2018

Value-Based Allocation of Docker Containers.
Proceedings of the 26th Euromicro International Conference on Parallel, 2018

Value-based manufacturing optimisation in serverless clouds for industry 4.0.
Proceedings of the Genetic and Evolutionary Computation Conference, 2018

Analysis of the use of genetic algorithms for indoor localisation via cloud point matching.
Proceedings of the Genetic and Evolutionary Computation Conference, 2018

Buffer-aware bounds to multi-point progressive blocking in priority-preemptive NoCs.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Hardware-accelerated analysis of real-time Networks-on-Chip.
Microprocess. Microsystems, 2017

Dynamic and Static Task Allocation for Hard Real-Time Video Stream Decoding on NoCs.
Leibniz Trans. Embed. Syst., 2017

Special issue on design of algorithms and architectures for signal and image processing.
J. Syst. Archit., 2017

Multi-criteria resource allocation in modal hard real-time systems.
EURASIP J. Embed. Syst., 2017

A Survey and Comparative Study of Hard and Soft Real-Time Dynamic Resource Allocation Strategies for Multi-/Many-Core Systems.
ACM Comput. Surv., 2017

Deadline, Energy and Buffer-Aware Task Mapping Optimization in NoC-Based SoCs Using Genetic Algorithms.
Proceedings of the VII Brazilian Symposium on Computing Systems Engineering, 2017

Real-time dense wired sensor network based on traffic shaping.
Proceedings of the 23rd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2017

Side-channel attack resilience through route randomisation in secure real-time Networks-on-Chip.
Proceedings of the 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, 2017

2016
Comparative performance evaluation of latency and link dynamic power consumption modelling algorithms in wormhole switching networks on chip.
J. Syst. Archit., 2016

Application modeling for performance evaluation on event-triggered wireless sensor networks.
Des. Autom. Embed. Syst., 2016

A Tighter Real-Time Communication Analysis for Wormhole-Switched Priority-Preemptive NoCs.
CoRR, 2016

2nd International Workshop on Dynamic Resource Allocation and Management in Embedded, High Performance and Cloud Computing (DREAMCloud 2016).
CoRR, 2016

Analysis of buffering effects on hard real-time priority-preemptive wormhole networks.
CoRR, 2016

Benchmarking, System Design and Case-studies for Multi-core based Embedded Automotive Systems.
CoRR, 2016

Bidding policies for market-based HPC workflow scheduling.
CoRR, 2016

Synthetic Workload Generation of Broadcast Related HEVC Stream Decoding for Resource Constrained Systems.
Proceedings of the 13th International Joint Conference on e-Business and Telecommunications (ICETE 2016), 2016

On Routing Flexibility of Wormhole-Switched Priority-Preemptive NoCs.
Proceedings of the 22nd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2016

Value and Energy Aware Adaptive Resource Allocation of Soft Real-Time Jobs on Many-Core HPC Data Centers.
Proceedings of the 19th IEEE International Symposium on Real-Time Distributed Computing, 2016

Energy-Aware Resource Allocation in Multi-mode Automotive Applications with Hard Real-Time Constraints.
Proceedings of the 19th IEEE International Symposium on Real-Time Distributed Computing, 2016

Mapping of real-time applications on a packet switching NoC-based MPSoC.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

Low communication overhead dynamic mapping of multiple HEVC video stream decoding on NoCs.
Proceedings of the 7th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures and the 5th Workshop on Design Tools and Architectures For Multicore Embedded Computing Platforms, 2016

Design space exploration for complex automotive applications: an engine control system case study.
Proceedings of the 2016 Workshop on Rapid Simulation and Performance Evaluation, 2016

Hardware-Accelerated Parallel Genetic Algorithm for Fitness Functions with Variable Execution Times.
Proceedings of the 2016 on Genetic and Evolutionary Computation Conference, Denver, CO, USA, July 20, 2016

Eboracum: An extensible framework for high-level modeling and evaluation of reactive and adaptable WSNs.
Proceedings of the 21st IEEE International Conference on Emerging Technologies and Factory Automation, 2016

Feedback-Based Admission Control for Hard Real-Time Task Allocation Under Dynamic Workload on Many-Core Systems.
Proceedings of the Architecture of Computing Systems - ARCS 2016, 2016

Dynamic Resource Allocation in Embedded, High-Performance and Cloud Computing.
River Publishers Series in Information Science and Technology, River Publishers, ISBN: 978-8-7935-1908-4, 2016

2015
Fast Simulation of Networks-on-Chip with Priority-Preemptive Arbitration.
ACM Trans. Design Autom. Electr. Syst., 2015

Impact of Temporal and Spatial Application Modeling on Event-Triggered Wireless Sensor Network Evaluation.
Proceedings of the 2015 Brazilian Symposium on Computing Systems Engineering, 2015

An interval algebra for multiprocessor resource allocation.
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015

Hard real-time guarantee of automotive applications during mode changes.
Proceedings of the 23rd International Conference on Real Time Networks and Systems, 2015

A generic and compositional framework for multicore response time analysis.
Proceedings of the 23rd International Conference on Real Time Networks and Systems, 2015

Hardware-accelerated Response Time Analysis for priority-preemptive Networks-on-Chip.
Proceedings of the 10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, 2015

Simultaneous Optimisation of Task Mapping and Priority Assignment for Real-Time Embedded NoCs.
Proceedings of the 23rd Euromicro International Conference on Parallel, 2015

A Function for Hard Real-Time System Search-Based Task Mapping Optimisation.
Proceedings of the IEEE 18th International Symposium on Real-Time Distributed Computing, 2015

Network-on-Chip packet prioritisation based on instantaneous slack awareness.
Proceedings of the 13th IEEE International Conference on Industrial Informatics, 2015

Task allocation for decoding multiple hard real-time video streams on homogeneous NoCs.
Proceedings of the 13th IEEE International Conference on Industrial Informatics, 2015

Market-inspired dynamic resource allocation in many-core high performance computing systems.
Proceedings of the 2015 International Conference on High Performance Computing & Simulation, 2015

Real-time mixed-criticality Network-on-Chip resource allocation.
Proceedings of the 2015 International Conference on High Performance Computing & Simulation, 2015

Decentralised Load Balancing in Event-Triggered WSNs Based on Ant Colony Work Division.
Proceedings of the 41st Euromicro Conference on Software Engineering and Advanced Applications, 2015

Bio-inspired distributed task remapping for multiple video stream decoding on homogeneous NoCs.
Proceedings of the 13th IEEE Symposium on Embedded Systems For Real-time Multimedia, 2015

Average and Worst-Case Latency Improvements in Mixed-Criticality Wormhole Networks-on-Chip.
Proceedings of the 27th Euromicro Conference on Real-Time Systems, 2015

Value and Energy Optimizing Dynamic Resource Allocation in Many-Core HPC Systems.
Proceedings of the 7th IEEE International Conference on Cloud Computing Technology and Science, 2015

2014
End-to-end schedulability tests for multiprocessor embedded systems based on networks-on-chip with priority-preemptive arbitration.
J. Syst. Archit., 2014

A characterisation of the workload on an engineering design grid.
Proceedings of the 2014 Spring Simulation Multiconference, 2014

Heuristics for Mapping Real-Time Applications to NoC-Based Architectures Using Genetic Algorithms.
Proceedings of the 2014 Brazilian Symposium on Computing Systems Engineering, 2014

A Wormhole NoC Protocol for Mixed Criticality Systems.
Proceedings of the IEEE 35th IEEE Real-Time Systems Symposium, 2014

Predictability and Utilisation Trade-off in the Dynamic Management of Multiple Video Stream Decoding on Network-on-Chip based Homogeneous Embedded Multi-cores.
Proceedings of the 22nd International Conference on Real-Time Networks and Systems, 2014

Low overhead predictability enhancement in non-preemptive network-on-chip routers using Priority Forwarded Packet Splitting.
Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, 2014

Inexact End-to-End Response Time Analysis as fitness function in search-based task allocation heuristics for hard real-time network-on-chips.
Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, 2014

Feedback-based admission control for task allocation.
Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, 2014

Predictability enhancement in non-preemptive NoCs using selective packet splitting.
Proceedings of the 12th IEEE International Conference on Industrial Informatics, 2014

Using mobile robotic agents to increase service availability and extend network lifetime on WSRNs.
Proceedings of the 12th IEEE International Conference on Industrial Informatics, 2014

Fine-Grained Link Locking Within Power and Latency Transaction Level Modelling in Wormhole Switching Non-Preemptive Networks On Chip.
Proceedings of the 5th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures and the 3rd Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2014

2013
Power-aware dynamic mapping heuristics for NoC-based MPSoCs using a unified model-based approach.
ACM Trans. Embed. Comput. Syst., 2013

Special issue on network-based many-core embedded systems.
J. Syst. Archit., 2013

Bioinspired Load Balancing in Large-Scale WSNs Using Pheromone Signalling.
Int. J. Distributed Sens. Networks, 2013

A survey of scheduling metrics and an improved ordering policy for list schedulers operating on workloads with dependencies and a wide variation in execution times.
Future Gener. Comput. Syst., 2013

PFT - A low overhead predictability enhancement technique for non-preemptive NoCs.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

Fast transaction-level dynamic power consumption modelling in priority preemptive wormhole switching networks on chip.
Proceedings of the 2013 International Conference on Embedded Computer Systems: Architectures, 2013

An optimisation algorithm for minimising energy dissipation in NoC-based hard real-time embedded systems.
Proceedings of the 21st International Conference on Real-Time Networks and Systems, 2013

Dynamic task remapping for power and latency performance improvement in priority-based non-preemptive Networks On Chip.
Proceedings of the 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2013

Real-time low-power task mapping in Networks-on-Chip.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2013

Message from the general and program chairs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2013

Search-Based Parameter Tuning on Application-Level Load Balancing for Distributed Embedded Systems.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

Scheduling HPC Workflows for Responsiveness and Fairness with Networking Delays and Inaccurate Estimates of Execution Times.
Proceedings of the Euro-Par 2013 Parallel Processing, 2013

2012
Enabling Adaptive Techniques in Heterogeneous MPSoCs Based on Virtualization.
ACM Trans. Reconfigurable Technol. Syst., 2012

A Programmable Look-Up Table-Based Interpolator with Nonuniform Sampling Scheme.
Int. J. Reconfigurable Comput., 2012

Using genetic algorithms to map hard real-time on NoC-based systems.
Proceedings of the 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2012

MADES FP7 EU project: Effective high level SysML/MARTE methodology for real-time and embedded avionics systems.
Proceedings of the 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2012

A MARTE subset to enable application-platform co-simulation and schedulability analysis of NoC-based embedded systems.
Proceedings of the 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2012

Runtime optimisation in WSNs for load balancing using pheromone signalling.
Proceedings of the 3rd IEEE International Conference on Networked Embedded Systems for Every Application, 2012

Comparative analysis of dynamic task mapping heuristics in heterogeneous NoC-based MPSoCs.
Proceedings of the 2012 International Symposium on System on Chip, 2012

A Control Theoretic Approach for Workflow Management.
Proceedings of the 17th IEEE International Conference on Engineering of Complex Computer Systems, 2012

2011
Exploring NoC-Based MPSoC Design Space with Power Estimation Models.
IEEE Des. Test Comput., 2011

Exploring dynamic mapping impact on NoC-based MPSoCs performance using a model-based framework.
Proceedings of the 24th Symposium on Integrated Circuits and Systems Design, 2011

Exploring heterogeneous NoC-based MPSoCs: From FPGA to high-level modeling.
Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011

Genetic mapping of hard real-time applications onto NoC-based MPSoCs - A first approach.
Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011

Evaluating the feasibility of network coding for NoCs.
Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011

Model-Based Hardware Generation and Programming - The MADES Approach.
Proceedings of the 14th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops, 2011

Fast and accurate transaction-level model of a wormhole network-on-chip with priority preemptive virtual channel arbitration.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
Schedulability Analysis for Real Time On-Chip Communication with Wormhole Switching.
Int. J. Embed. Real Time Commun. Syst., 2010

Joint Validation of Application Models and Multi-Abstraction Network-on-Chip Platforms.
Int. J. Embed. Real Time Commun. Syst., 2010

Instruction Set Simulator for MPSoCs based on NoCs and MIPS Processors.
Proceedings of the 5th International Workshop on Reconfigurable Communication-centric Systems on Chip, 2010

Practical and Theoretical Considerations on Low-Power Probability-Codes for Networks-on-Chip.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2010

A case study of hierarchically heterogeneous application modelling using UML and Ptolemy II.
Proceedings of the 2010 International Symposium on System on Chip, SoC 2010, Tampere, 2010

Novel method of chaotic systems evaluation for implementations of encryption algorithms.
Proceedings of the 17th International Conference on Telecommunications, 2010

Model-based design flow for NoC-based MPSoCs.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

Improving QoS of Multi-layer Networks-on-Chip with Partial and Dynamic Reconfiguration of Routers.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

2009
Low-Power Coding for Networks-on-Chip with Virtual Channels.
J. Low Power Electron., 2009

Providing Memory Management Abstraction for Self-Reconfigurable Video Processing Platforms.
Int. J. Reconfigurable Comput., 2009

A high abstraction, high accuracy power estimation model for networks-on-chip.
Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, 2009

Characterising embedded applications using a UML profile.
Proceedings of the 2008 IEEE International Symposium on System-on-Chip, 2009

2008
Validation of executable application models mapped onto network-on-chip platforms.
Proceedings of the IEEE Third International Symposium on Industrial Embedded Systems, 2008

Enabling self-reconfiguration on a video processing platform.
Proceedings of the IEEE Third International Symposium on Industrial Embedded Systems, 2008

A simplified executable model to evaluate latency and throughput of networks-on-chip.
Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, 2008

PMD: A Low-Power Code for Networks-on-Chip Based on Virtual Channels.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2008

Applying UML Interactions and Actor-Oriented Simulation to the Design Space Exploration of Network-on-Chip Interconnects.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008

TinyOS Extensions for a Wireless Sensor Network Node Based on a Dynamically Reconfigurable Processor.
Proceedings of the Distributed Embedded Systems: Design, 2008

An Actor-Oriented Group Mobility Model for Wireless Ad Hoc Sensor Networks.
Proceedings of the 28th IEEE International Conference on Distributed Computing Systems Workshops (ICDCS 2008 Workshops), 2008

2007
On the Evolution of Remote Laboratories for Prototyping Digital Electronic Systems.
IEEE Trans. Ind. Electron., 2007

Specification of alternative execution semantics of UML sequence diagrams within actor-oriented models.
Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, 2007

System Level Design of a Dynamically Self-Reconfigurable Image Processing System.
Proceedings of the 3rd International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2007

Inserting Data Encoding Techniques into NoC-Based Systems.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

Reducing the Power Consumption in Networks-on-Chip through Data Coding Schemes.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

Interactive presentation: Executable system-level specification models containing UML-based behavioral patterns.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
Exploring Functional Unit Parallelism in Reconfigurable Computing Platforms.
Proceedings of the 2nd International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2006

Adaptable Image Processing System based on FPGA Modular Multi Kernel Instantiations.
Proceedings of the 2nd International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2006

Adaptive Coding in Networks-on-Chip: Transition Activity Reduction Versus Power Overhead of the Codec Circuitry.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2006

An Actor-Oriented Model-Based Design Flow for Systems-on-Chip.
Proceedings of the Dagstuhl-Workshop MBEES: Modellbasierte Entwicklung eingebetteter Systeme II, 2006

An Efficient Hardware Implementation of a Self-Adaptable Equalizer for WCDMA Downlink UMTS Standard.
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006

Evaluating the Impact of Data Encoding Techniques on the Power Consumption in Networks-on-Chip.
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006

Exploring Application-Level Concurrency in SoC Design.
Proceedings of the International Symposium on System-on-Chip, 2006

Multitasking Support for Dynamically Reconfig Urable Systems.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006

Applying Communication Patterns to Actor-Oriented Models.
Proceedings of the Forum on specification and Design Languages, 2006

2005
Non-linear addressing scheme for a lookup-based transformation function in a reconfigurable noise generator.
Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, 2005

Reconfigurable Embedded Systems: An Application-Oriented Perspective on Architectures and Design Techniques.
Proceedings of the Embedded Computer Systems: Architectures, 2005

Modeling and Prototyping of Communication Systems Using Java: A Case Study.
Proceedings of the 16th IEEE International Workshop on Rapid System Prototyping (RSP 2005), 2005

Experiences on Actor-oriented Design of Reconfigurable Systems.
Proceedings of the 1st International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2005

Proxy-Based Integration of Reconfigurable Hardware Within Simulation Environments: Improving E-Learning Experience in Microelectronics.
Proceedings of the 2005 International Conference on Microelectronics Systems Education, 2005

2004
Um Framework de Apoio à Colaboração no Projeto Distribuído de Sistemas Integrados.
RITA, 2004

Lookup-based Remote Laboratory for FPGA Digital Design Prototyping.
Proceedings of the e-learning and Virtual and Remote Laboratories, 2004

Accurate capture of timing parameters in inductively-coupled on-chip interconnects.
Proceedings of the 17th Annual Symposium on Integrated Circuits and Systems Design, 2004

Reconfigurable platforms for ubiquitous computing.
Proceedings of the First Conference on Computing Frontiers, 2004

2003
Reducing Authoring Costs of Online Training in Microelectronics Design by Reusing Design Documentation Content.
Proceedings of the 2003 International Conference on Microelectronics Systems Education, 2003

Supporting Consistency Control between Functional and Structural Views in Interface-based Design Models.
Proceedings of the Forum on specification and Design Languages, 2003

Ubiquitous Access to Reconfigurable Hardware: Application Scenarios and Implementation Issues.
Proceedings of the 2003 Design, 2003

2002
Power Consumption in Point-to-Point Interconnect Architectures.
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002

Comparative Analysis and Application of Data Repository Infrastructure for Collaboration-Enabled Distributed Design Environments.
Proceedings of the 2002 Design, 2002

2001
3D integrated circuit layout visualization using VRML.
Future Gener. Comput. Syst., 2001

Jale3D - Platform-independent IC/MEMS Layout Edition Tool.
Proceedings of the 14th Annual Symposium on Integrated Circuits and Systems Design, 2001

Distributed Collaborative Design over Cave2 Framework.
Proceedings of the SOC Design Methodologies, 2001

2000
From a Hyperdocument-Centric to an Object-Oriented Approach for the Cave Project.
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000

1999
VRML and Microelectronics Education.
Proceedings of the IEEE International Conference on Microelectronic Systems Education, 1999

Microelectronics Education Using WWW.
Proceedings of the IEEE International Conference on Microelectronic Systems Education, 1999

1998
A Case Study for a WWW based CAD Framework.
Proceedings of the 11th Annual Symposium on Integrated Circuits Design, 1998

Microelectronics Education using WWW and CAD Tools.
Proceedings of the 11th Annual Symposium on Integrated Circuits Design, 1998


  Loading...