Matthias Becker

Orcid: 0000-0002-1276-3609

Affiliations:
  • KTH Royal Institute of Technology, Stockholm, Sweden
  • Mälardalen University, Västerås, Sweden (PhD 2017)


According to our database1, Matthias Becker authored at least 46 papers between 2014 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Introduction to the Special Issue on Real-Time Computing in the IoT-to-Edge-to-Cloud Continuum.
ACM Trans. Embed. Comput. Syst., January, 2024

2023
Methods to Realize Preemption in Phased Execution Models.
ACM Trans. Embed. Comput. Syst., October, 2023

Front Matter, Table of Contents, Preface, Conference Organization.
Dagstuhl Artifacts Ser., 2023

Real-Time Probabilistic Programming.
CoRR, 2023

On the QNX IPC: Assessing Predictability for Local and Distributed Real-Time Systems.
Proceedings of the 29th IEEE Real-Time and Embedded Technology and Applications Symposium, 2023

An Exact Schedulability Analysis for Global Fixed-Priority Scheduling of the AER Task Model.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Enabling automated integration of architectural languages: An experience report from the automotive domain.
J. Syst. Softw., 2022

Front Matter - ECRTS 2022 Artifacts, Table of Contents, Preface, Artifact Evaluation Committee.
Dagstuhl Artifacts Ser., 2022

End-to-End Analysis of Event Chains under the QNX Adaptive Partitioning Scheduler.
Proceedings of the 28th IEEE Real-Time and Embedded Technology and Applications Symposium, 2022

2021
Constrained Data-Age with Job-Level Dependencies: How to Reconcile Tight Bounds and Overheads.
Proceedings of the 27th IEEE Real-Time and Embedded Technology and Applications Symposium, 2021

From the Synchronous Data Flow Model of Computation to an Automotive Component Model.
Proceedings of the 26th IEEE International Conference on Emerging Technologies and Factory Automation, 2021

Formulation of Design Space Exploration Problems by Composable Design Space Identification.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Optimizing Inter-Core Data-Propagation Delays in Industrial Embedded Systems under Partitioned Scheduling.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
From AMALTHEA to RCM and Back: a Practical Architectural Mapping Scheme.
Proceedings of the 46th Euromicro Conference on Software Engineering and Advanced Applications, 2020

2019
An Adaptive Resource Provisioning Scheme for Industrial SDN Networks.
Proceedings of the 17th IEEE International Conference on Industrial Informatics, 2019

Static Allocation of Parallel Tasks to Improve Schedulability in CPU-GPU Heterogeneous Real-Time Systems.
Proceedings of the IECON 2019, 2019

2018
Design of a Knowledge-Base Strategy for Capability-Aware Treatment of Uncertainties of Automated Driving Systems.
Proceedings of the Computer Safety, Reliability, and Security, 2018

Data Propagation Delay Constraints in Multi-Rate Systems: Deadlines vs. Job-Level Dependencies.
Proceedings of the 26th International Conference on Real-Time Networks and Systems, 2018

Architecting Safety Supervisors for High Levels of Automated Driving.
Proceedings of the 21st International Conference on Intelligent Transportation Systems, 2018

Timing Analysis Driven Design-Space Exploration of Cause-Effect Chains in Automotive Systems.
Proceedings of the IECON 2018, 2018

Towards QoS-Aware Service-Oriented Communication in E/E Automotive Architectures.
Proceedings of the IECON 2018, 2018

Scheduling multi-rate real-time applications on clustered many-core architectures with memory constraints.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Consolidating Automotive Real-Time Applications on Many-Core Platforms.
PhD thesis, 2017

Analyzing end-to-end delays in automotive systems at various levels of timing information.
SIGBED Rev., 2017

Using non-preemptive regions and path modification to improve schedulability of real-time traffic over priority-based NoCs.
Real Time Syst., 2017

End-to-end timing analysis of cause-effect chains in automotive embedded systems.
J. Syst. Archit., 2017

A generic framework facilitating early analysis of data propagation delays in multi-rate systems (Invited paper).
Proceedings of the 23rd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2017

Partitioning and Analysis of the Network-on-Chip on a COTS Many-Core Platform.
Proceedings of the 2017 IEEE Real-Time and Embedded Technology and Applications Symposium, 2017

Buffer-Aware Analysis for Worst-Case Traversal Time of Real-Time Traffic over RRA-based NoCs.
Proceedings of the 25th Euromicro International Conference on Parallel, 2017

Using segmentation to improve schedulability of RRA-based NoCs with mixed traffic.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

A tighter recursive calculus to compute the worst case traversal time of real-time traffic over NoCs.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Using segmentation to improve schedulability of real-time traffic over RRA-based NoCs.
SIGBED Rev., 2016

Towards automated deployment of IEC 61131-3 applications on multi-core systems.
Proceedings of the IEEE World Conference on Factory Communication Systems, 2016

A dependency-graph based priority assignment algorithm for real-time traffic over NoCs with shared virtual-channels.
Proceedings of the IEEE World Conference on Factory Communication Systems, 2016

Real-Time Capabilities of HSA Compliant COTS Platforms.
Proceedings of the 2016 IEEE Real-Time Systems Symposium, 2016

Scheduling Real-Time Packets with Non-preemptive Regions on Priority-Based NoCs.
Proceedings of the 22nd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2016

Synthesizing Job-Level Dependencies for Automotive Multi-rate Effect Chains.
Proceedings of the 22nd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2016

Tighter time analysis for real-time traffic in on-chip networks with shared priorities.
Proceedings of the Tenth IEEE/ACM International Symposium on Networks-on-Chip, 2016

Contention-Free Execution of Automotive Applications on a Clustered Many-Core Platform.
Proceedings of the 28th Euromicro Conference on Real-Time Systems, 2016

2015
Extended support for limited preemption fixed priority scheduling for OSEK/AUTOSAR-compliant operating systems.
Proceedings of the 10th IEEE International Symposium on Industrial Embedded Systems, 2015

Improved priority assignment for real-time communications in on-chip networks.
Proceedings of the 23rd International Conference on Real Time Networks and Systems, 2015

A many-core based execution framework for IEC 61131-3.
Proceedings of the IECON 2015, 2015

Investigation on AUTOSAR-Compliant Solutions for Many-Core Architectures.
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015

2014
Dynamic power management for thermal control of many-core real-time systems.
SIGBED Rev., 2014

Saving energy by means of dynamic load management in embedded multicore systems.
Proceedings of the 9th IEEE International Symposium on Industrial Embedded Systems, 2014

Limiting temperature gradients on many-cores by adaptive reallocation of real-time workloads.
Proceedings of the 2014 IEEE Emerging Technology and Factory Automation, 2014


  Loading...