Can Sitik

Orcid: 0000-0003-0056-2137

According to our database1, Can Sitik authored at least 15 papers between 2012 and 2019.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2019
SLECTS: Slew-Driven Clock Tree Synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Low Voltage Clock Tree Synthesis with Local Gate Clusters.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

2016
Design Methodology for Voltage-Scaled Clock Distribution Networks.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Exploiting useful skew in gated low voltage clock trees.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

2015
FinFET-Based Low-Swing Clocking.
ACM J. Emerg. Technol. Comput. Syst., 2015

Enhanced level shifter for multi-voltage operation.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A Novel Static D-Flip-Flop Topology for Low Swing Clocking.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

2014
Iterative skew minimization for low swing clocks.
Integr., 2014

High Performance Low Swing Clock Tree Synthesis with Custom D Flip-Flop Design.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Timing characterization of clock buffers for clock tree synthesis.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

2013
A microcontroller-based embedded system design course with PSoC3.
Proceedings of the 2013 IEEE International Conference on Microelectronic Systems Education, 2013

Multi-corner multi-voltage domain clock mesh design.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

Skew-bounded low swing clock tree optimization.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

2012
Multi-voltage domain clock mesh design.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012


  Loading...