Clare Thiem

According to our database1, Clare Thiem authored at least 13 papers between 2004 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Design Principles for Lifelong Learning AI Accelerators.
CoRR, 2023

Moving Towards Game-Changing Technology: Fabrication and Application of HfO2 RRAM for In-Memory Computing.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

2021
Frustrated Arrays of Nanomagnets for Efficient Reservoir Computing.
CoRR, 2021

Toward Intelligence in Communication Networks: A Deep Learning Identification Strategy for Radio Frequency Fingerprints.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

2020
Energy Efficient Temporal Spatial Information Processing Circuits Based on STDP and Spike Iteration.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

A neuromorphic SLAM architecture using gated-memristive synapses.
Neurocomputing, 2020

Reservoir Computing with Planar Nanomagnet Arrays.
CoRR, 2020

2017
Reservoir Computing in Embedded Systems: Three variants of the reservoir algorithm.
IEEE Consumer Electron. Mag., 2017

2016
Energy Efficient Spiking Temporal Encoder Design for Neuromorphic Computing Systems.
IEEE Trans. Multi Scale Comput. Syst., 2016

2015
Spike-Time-Dependent Encoding for Neuromorphic Processors.
ACM J. Emerg. Technol. Comput. Syst., 2015

2014
Hardware-Based Computational Intelligence for Size, Weight, and Power Constrained Environments.
Proceedings of the Network Science and Cybersecurity, 2014

2013
Memristor-based synapse design and a case study in reconfigurable systems.
Proceedings of the 2013 International Joint Conference on Neural Networks, 2013

2004
Advanced Computer Technology for Novel Information Processing Paradigms.
J. Aerosp. Comput. Inf. Commun., 2004


  Loading...