François Durvaux

According to our database1, François Durvaux authored at least 19 papers between 2011 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Efficient Profiled Side-Channel Analysis of Masked Implementations, Extended.
IEEE Trans. Inf. Forensics Secur., 2022

2020
On the Worst-Case Side-Channel Security of ECC Point Randomization in Embedded Devices.
IACR Cryptol. ePrint Arch., 2020

2017
Towards easy leakage certification: extended version.
J. Cryptogr. Eng., 2017

Towards Sound and Optimal Leakage Detection Procedure.
IACR Cryptol. ePrint Arch., 2017

2016
Towards Easy Leakage Certification.
Proceedings of the Cryptographic Hardware and Embedded Systems - CHES 2016, 2016

2015
Towards Easy Leakage Certification.
IACR Cryptol. ePrint Arch., 2015

From Improved Leakage Detection to the Detection of Points of Interests in Leakage Traces.
IACR Cryptol. ePrint Arch., 2015

2014
Efficient Selection of Time Samples for Higher-Order DPA with Projection Pursuits.
IACR Cryptol. ePrint Arch., 2014

Support Vector Machines for Improved IP Detection with Soft Physical Hash Functions.
Proceedings of the Constructive Side-Channel Analysis and Secure Design, 2014

A Survey of Recent Results in FPGA Security and Intellectual Property Protection.
Proceedings of the Secure Smart Embedded Devices, Platforms and Applications, 2014

2013
SleepWalker: A 25-MHz 0.4-V Sub-mm<sup>2</sup> 7-µW/MHz Microcontroller in 65-nm LP/GP CMOS for Low-Carbon Wireless Sensor Nodes.
IEEE J. Solid State Circuits, 2013

How to Certify the Leakage of a Chip?
IACR Cryptol. ePrint Arch., 2013

Intellectual property protection for FPGA designs with soft physical hash functions: First experimental results.
Proceedings of the 2013 IEEE International Symposium on Hardware-Oriented Security and Trust, 2013

2012
Cryptanalysis of the CHES 2009/2010 Random Delay Countermeasure.
IACR Cryptol. ePrint Arch., 2012

Intellectual Property Protection for Integrated Systems Using Soft Physical Hash Functions.
Proceedings of the Information Security Applications - 13th International Workshop, 2012

A 25MHz 7μW/MHz ultra-low-voltage microcontroller SoC in 65nm LP/GP CMOS for low-carbon wireless sensor nodes.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

Towards Green Cryptography: A Comparison of Lightweight Ciphers from the Energy Viewpoint.
Proceedings of the Cryptographic Hardware and Embedded Systems - CHES 2012, 2012

Efficient Removal of Random Delays from Embedded Software Implementations Using Hidden Markov Models.
Proceedings of the Smart Card Research and Advanced Applications, 2012

2011
Compact FPGA Implementations of the Five SHA-3 Finalists.
Proceedings of the Smart Card Research and Advanced Applications, 2011


  Loading...