Scott Little

According to our database1, Scott Little authored at least 19 papers between 2001 and 2014.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2014
LEMA: A tool for the formal verification of digitally-intensive analog/mixed-signal circuits.
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014

2012
Synchronizing AMS Assertions with AMS Simulation: From Theory to Practice.
ACM Trans. Design Autom. Electr. Syst., 2012

2011
Verification of Analog/Mixed-Signal Circuits Using Labeled Hybrid Petri Nets.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Realtime regular expressions for analog and mixed-signal assertions.
Proceedings of the International Conference on Formal Methods in Computer-Aided Design, 2011

2010
Analog/Mixed-Signal Circuit Verification Using Models Generated from Simulation Traces.
Int. J. Found. Comput. Sci., 2010

Property-Based Monitoring of Analog and Mixed-Signal Systems.
Proceedings of the Formal Modeling and Analysis of Timed Systems, 2010

2009
A new verification method for embedded systems.
Proceedings of the 27th International Conference on Computer Design, 2009

2008
Efficient Modeling and Verification of Analog/Mixed Signal Circuits Using Labeled Hybrid Petri nets.
PhD thesis, 2008

Verification of Analog/Mixed-Signal Circuits Using Symbolic Methods.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

2007
Application of Automated Model Generation Techniques to Analog/Mixed-Signal Circuits.
Proceedings of the Eighth International Workshop on Microprocessor Test and Verification (MTV 2007), 2007

Bounded Model Checking of Analog and Mixed-Signal Circuits Using an SMT Solver.
Proceedings of the Automated Technology for Verification and Analysis, 2007

Analog/Mixed-Signal Circuit Verification Using Models Generated from Simulation Traces.
Proceedings of the Automated Technology for Verification and Analysis, 2007

Symbolic Model Checking of Analog/Mixed-Signal Circuits.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
Verification of timed circuits with failure-directed abstractions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Verification of analog/mixed-signal circuits using labeled hybrid petri nets.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

2005
The Case for Analog Circuit Verification.
Proceedings of the First Workshop on Formal Verification of Analog Circuits, 2005

2004
Verification of Analog and Mixed-Signal Circuits Using Timed Hybrid Petri Nets.
Proceedings of the Automated Technology for Verification and Analysis: Second International Conference, 2004

2001
The E/S Tool IT-Support for Ergonomic and Sociotechnical System Design.
Proceedings of the ER 2001 Workshops, 2001

Analog MAP Decoder for (8, 4) Hamming Code in Subthreshold CMOS.
Proceedings of the 19th Conference on Advanced Research in VLSI (ARVLSI 2001), 2001


  Loading...