Shih-Hung Weng

According to our database1, Shih-Hung Weng authored at least 24 papers between 2008 and 2016.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of two.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2016
Simulation Algorithms With Exponential Integration for Time-Domain Analysis of Large-Scale Power Delivery Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

An Efficient Transient Electro-Thermal Simulation Framework for Power Integrated Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

A fast time-domain EM-TCAD coupled simulation framework via matrix exponential with stiffness reduction.
Int. J. Circuit Theory Appl., 2016

2015
Simulation Algorithms with Exponential Integration for Time-Domain Analysis of Large-Scale Power Delivery Networks.
CoRR, 2015

2014
Energy Efficiency Optimization Through Codesign of the Transmitter and Receiver in High-Speed On-Chip Interconnects.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Worst Case Noise Prediction With Nonzero Current Transition Times for Power Grid Planning.
IEEE Trans. Very Large Scale Integr. Syst., 2014

MATEX: A Distributed Framework for Transient Simulation of Power Distribution Networks.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Circuit Simulation via Matrix Exponential Method.
PhD thesis, 2013

Power grid simulation using matrix exponential method with rational Krylov subspaces.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

2012
Timing Optimization in Sequential Circuit by Exploiting Clock-Gating Logic.
ACM Trans. Design Autom. Electr. Syst., 2012

Time-Domain Analysis of Large-Scale Circuits by Matrix Exponential Method With Adaptive Control.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

A Practical Regularization Technique for Modified Nodal Analysis in Large-Scale Time-Domain Circuit Simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

An on-chip global broadcast network design with equalized transmission lines in the 1024-core era.
Proceedings of the International Workshop on System Level Interconnect Prediction, 2012

Globally stable, highly parallelizable fast transient circuit simulation via faber series.
Proceedings of the 10th IEEE International NEWCAS Conference, 2012

Low-power gated bus synthesis for 3d ic via rectilinear shortest-path steiner graph.
Proceedings of the International Symposium on Physical Design, 2012

Circuit simulation via matrix exponential method for stiffness handling and parallel processing.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

A fast time-domain EM-TCAD coupled simulation framework via matrix exponential.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

Character design and stamp algorithms for Character Projection Electron-Beam Lithography.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
A fast and stable explicit integration method by matrix exponential operator for large scale circuit simulation.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Circuit simulation using matrix exponential method.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

Power grid sizing via convex programming.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

2010
Worst-case noise prediction with non-zero current transition times for early power distribution system verification.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

2008
Timing analysis considering IR drop waveforms in power gating designs.
Proceedings of the 26th International Conference on Computer Design, 2008

A novel sequential circuit optimization with clock gating logic.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008


  Loading...