Sicheng Li

Orcid: 0009-0009-3180-5518

According to our database1, Sicheng Li authored at least 47 papers between 2013 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
ECNet: Effective Controllable Text-to-Image Diffusion Models.
CoRR, 2024

2023
Identifying the severity of technical debt issues based on semantic and structural information.
Softw. Qual. J., December, 2023

Efficient Super-Resolution System With Block-Wise Hybridization and Quantized Winograd on FPGA.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

DORec: Decomposed Object Reconstruction Utilizing 2D Self-Supervised Features.
CoRR, 2023

A Hybrid Low Capacitance Modular Multilevel Converter for Medium Voltage PMSM Drive and Its Control Method.
IEEE Access, 2023

ASAP: Accurate Synthesis Analysis and Prediction with Multi-Task Learning.
Proceedings of the 5th ACM/IEEE Workshop on Machine Learning for CAD, 2023

ArchExplorer: Microarchitecture Exploration Via Bottleneck Analysis.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

Integrating Interfaces into Furniture: New Paradigms for Ubiquitous Computing, Mixed Reality, and Telepresence within the Built Environment.
Proceedings of the 6th Media Architecture Biennale Conference, 2023

SteerNeRF: Accelerating NeRF Rendering via Smooth Viewpoint Trajectory.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023


AI-assisted ISP hyperparameter auto tuning.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

2022
Data-driven mathematical modeling and quantitative analysis of cell dynamics in the tumor microenvironment.
Comput. Math. Appl., 2022

Crowdfunding for the Environmentally Sustainable Products: An Exploratory Case Study Approach.
Proceedings of the 21st Wuhan International Conference on E-Business, 2022

2022 ICCAD CAD Contest Problem C: Microarchitecture Design Space Exploration.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Speech Disorders Classification by CNN in Phonetic E-Learning System.
Proceedings of the Artificial Intelligence in HCI, 2022

Transformer-Based Multilingual G2P Converter for E-Learning System.
Proceedings of the Artificial Intelligence in HCI, 2022

A Drawing Support System for Sketching Aging Anime Faces.
Proceedings of the International Conference on Cyberworlds, 2022

MIA-Former: Efficient and Robust Vision Transformers via Multi-Grained Input-Adaptation.
Proceedings of the Thirty-Sixth AAAI Conference on Artificial Intelligence, 2022

2021
LotteryFL: Empower Edge Intelligence with Personalized and Communication-Efficient Federated Learning.
Proceedings of the 6th IEEE/ACM Symposium on Edge Computing, 2021

Optimization to Automated Phonetic Transcription Grading Tool (APTgt) - Automatic Exam Generator.
Proceedings of the Learning and Collaboration Technologies: New Challenges and Learning Experiences, 2021

SpatialViewer: A Remote Work Sharing Tool that Considers Intimacy Among Workers.
Proceedings of the Virtual, Augmented and Mixed Reality, 2021

Speech Disorders Classification in Phonetic Exams with MFCC and DTW.
Proceedings of the 7th IEEE International Conference on Collaboration and Internet Computing, 2021

Multi-Scale Spatial Temporal Graph Convolutional Network for Skeleton-Based Action Recognition.
Proceedings of the Thirty-Fifth AAAI Conference on Artificial Intelligence, 2021

2020
LotteryFL: Personalized and Communication-Efficient Federated Learning with Lottery Ticket Hypothesis on Non-IID Datasets.
CoRR, 2020

Adversarial Attack: A New Threat to Smart Devices and How to Defend It.
IEEE Consumer Electron. Mag., 2020

ShiftAddNet: A Hardware-Inspired Deep Network.
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020

Orchestrating Medical Image Compression and Remote Segmentation Networks.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2020, 2020

Defending Deep Learning-Based Biomedical Image Segmentation from Adversarial Attacks: A Low-Cost Frequency Refinement Approach.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2020, 2020

Applied Webservices Platform Supported Through Modified Edit Distance Algorithm: Automated Phonetic Transcription Grading Tool (APTgt).
Proceedings of the Learning and Collaboration Technologies. Designing, Developing and Deploying Learning Experiences, 2020

Apparel Generation via Cluster-Indexed Global and Local Feature Representations.
Proceedings of the 9th IEEE Global Conference on Consumer Electronics, 2020

2019
Three-dimensional dynamic monitoring of environmental cost based on state-space model.
Neural Comput. Appl., 2019

Compact Modeling of Thin-Film Transistors for Flexible Hybrid IoT Design.
IEEE Des. Test, 2019

Evolutionary game simulation of corporate investing and financing behavior from a risk perspective.
Clust. Comput., 2019

Process Design Kit and Design Automation for Flexible Hybrid Electronics.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Ultra-thin Skin Electronics for High Quality and Continuous Skin-Sensor-Silicon Interfacing.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Neuromorphic computing's yesterday, today, and tomorrow - an evolutional view.
Integr., 2018

MAT: A Multi-strength Adversarial Training Method to Mitigate Adversarial Attacks.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Running sparse and low-precision neural network: When algorithm meets hardware.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
An FPGA Design Framework for CNN Sparsification and Acceleration.
Proceedings of the 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2017

Understanding the design of IBM neurosynaptic system and its tradeoffs: A user perspective.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
ApesNet: a pixel-wise efficient segmentation network for embedded devices.
IET Cyper-Phys. Syst.: Theory & Appl., 2016

Heterogeneous systems with reconfigurable neuromorphic computing accelerators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

A data locality-aware design framework for reconfigurable sparse matrix-vector multiplication kernel.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

ApesNet: A Pixel-wise Efficient Segmentation Network.
Proceedings of the 14th ACM/IEEE Symposium on Embedded Systems for Real-Time Multimedia, 2016

2015
FPGA Acceleration of Recurrent Neural Network Based Language Model.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

2014
Structured and Quantitative Research on Scenario of Firefighting and Rescue Cases.
Proceedings of the Web-Age Information Management, 2014

2013
DA-RAID-5: a disturb aware data protection technique for NAND flash storage systems.
Proceedings of the Design, Automation and Test in Europe, 2013


  Loading...