Siddharth Joshi

Orcid: 0000-0002-9201-9678

According to our database1, Siddharth Joshi authored at least 71 papers between 2006 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Data-Efficient Contrastive Language-Image Pretraining: Prioritizing Data Quality over Quantity.
CoRR, 2024

Investigating the Benefits of Projection Head for Representation Learning.
CoRR, 2024

Edge Inference with Fully Differentiable Quantized Mixed Precision Neural Networks.
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2024

2023
Micro/Nano Circuits and Systems Design and Design Automation: Challenges and Opportunities.
Proc. IEEE, June, 2023

The Hardware Impact of Quantization and Pruning for Weights in Spiking Neural Networks.
IEEE Trans. Circuits Syst. II Express Briefs, May, 2023

Estimating Post-Synaptic Effects for Online Training of Feed-Forward SNNs.
CoRR, 2023

Improvements in Interlayer Pipelining of CNN Accelerators Using Genetic Algorithms.
CoRR, 2023

Understanding the Robustness of Multi-modal Contrastive Learning to Distribution Shift.
CoRR, 2023

Hadamard Domain Training with Integers for Class Incremental Quantized Learning.
CoRR, 2023

Towards Mitigating Spurious Correlations in the Wild: A Benchmark & a more Realistic Dataset.
CoRR, 2023

Augmenting Hessians with Inter-Layer Dependencies for Mixed-Precision Post-Training Quantization.
CoRR, 2023

NeuroBench: Advancing Neuromorphic Computing through Collaborative, Fair and Representative Benchmarking.
CoRR, 2023

Data-Efficient Contrastive Self-supervised Learning: Easy Examples Contribute the Most.
CoRR, 2023

Mixed Precision Post Training Quantization of Neural Networks with Sensitivity Guided Search.
CoRR, 2023

Which Features are Learnt by Contrastive Learning? On the Role of Simplicity Bias in Class Collapse and Feature Suppression.
Proceedings of the International Conference on Machine Learning, 2023

Data-Efficient Contrastive Self-supervised Learning: Most Beneficial Examples for Supervised Learning Contribute the Least.
Proceedings of the International Conference on Machine Learning, 2023

A 0.57 mm<sup>2</sup> Platform with 70.7% Efficient 4 mA 3.2 V Charge Pump and a Current-Input Ramp ADC for Implantable Optical Sensing of Tumors.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

2022
A compute-in-memory chip based on resistive random-access memory.
Nat., 2022

Ruby: Improving Hardware Efficiency for Tensor Algebra Accelerators Through Imperfect Factorization.
Proceedings of the International IEEE Symposium on Performance Analysis of Systems and Software, 2022

2021
Edge AI without Compromise: Efficient, Versatile and Accurate Neurocomputing in Resistive Random-Access Memory.
CoRR, 2021

LSTMs for Keyword Spotting with ReRAM-Based Compute-In-Memory Architectures.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Graph Coloring Using Coupled Oscillator-Based Dynamical Systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

2020
Experimental Demonstration of a Reconfigurable Coupled Oscillator Platform to Solve the Max-Cut Problem.
CoRR, 2020

Memory Organization and Structures for On-Chip Learning in Spiking Neural Networks.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

Analog vs. Digital Spatial Transforms: A Throughput, Power, and Area Comparison.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

33.1 A 74 TMACS/W CMOS-RRAM Neurosynaptic Core with Dynamically Reconfigurable Dataflow and In-situ Transposable Weights for Probabilistic Graphical Models.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

Embedding error correction into crossbars for reliable matrix vector multiplication using emerging devices.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020

Memory Organization for Energy-Efficient Learning and Inference in Digital Neuromorphic Accelerators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A 4.2-pJ/Conv 10-b Asynchronous ADC with Hybrid Two-Tier Level-Crossing Event Coding.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Neural Network based MPPT system for Standalone PV system.
Proceedings of the 46th Annual Conference of the IEEE Industrial Electronics Society, 2020

Quantizing Spiking Neural Networks with Integers.
Proceedings of the International Conference on Neuromorphic Systems, 2020

A 1.52 pJ/Spike Reconfigurable Multimodal Integrate-and-Fire Neuron Array Transceiver.
Proceedings of the International Conference on Neuromorphic Systems, 2020

A Device Non-Ideality Resilient Approach for Mapping Neural Networks to Crossbar Arrays.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Digitally Adaptive High-Fidelity Analog Array Signal Processing Resilient to Capacitive Multiplying DAC Inter-Stage Gain Error.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Dropout and DropConnect for Reliable Neuromorphic Inference Under Communication Constraints in Network Connectivity.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2019

DropOut and DropConnect for Reliable Neuromorphic Inference under Energy and Bandwidth Constraints in Network Connectivity.
Proceedings of the IEEE International Conference on Artificial Intelligence Circuits and Systems, 2019

2018
Sub-µV<sub>rms</sub>-Noise Sub-µW/Channel ADC-Direct Neural Recording With 200-mV/ms Transient Recovery Through Predictive Digital Autoranging.
IEEE J. Solid State Circuits, 2018

A 92dB dynamic range sub-μVrms-noise 0.8μW/ch neural-recording ADC array with predictive digital autoranging.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Unsupervised Synaptic Pruning Strategies for Restricted Boltzmann Machines.
Proceedings of the 2018 IEEE Biomedical Circuits and Systems Conference, 2018

2017
Hierarchical Address Event Routing for Reconfigurable Large-Scale Neuromorphic Systems.
IEEE Trans. Neural Networks Learn. Syst., 2017

21.7 2pJ/MAC 14b 8×8 linear transform mixed-signal spatial filter in 65nm CMOS with 84dB interference suppression.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

Memristor for computing: Myth or reality?
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

From algorithms to devices: Enabling machine learning through ultra-low-power VLSI mixed-signal array processing.
Proceedings of the 2017 IEEE Custom Integrated Circuits Conference, 2017

Neuromorphic event-driven multi-scale synaptic connectivity and plasticity.
Proceedings of the 51st Asilomar Conference on Signals, Systems, and Computers, 2017

2016
A 6.5-µW/MHz Charge Buffer With 7-fF Input Capacitance in 65-nm CMOS for Noncontact Electropotential Sensing.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

A 1.3 mW 48 MHz 4 Channel MIMO Baseband Receiver With 65 dB Harmonic Rejection and 48.5 dB Spatial Signal Separation.
IEEE J. Solid State Circuits, 2016

Energy Recycling Telemetry IC With Simultaneous 11.5 mW Power and 6.78 Mb/s Backward Data Delivery Over a Single 13.56 MHz Inductive Link.
IEEE J. Solid State Circuits, 2016

Training a Probabilistic Graphical Model with Resistive Switching Electronic Synapses.
CoRR, 2016

Neuromorphic architectures with electronic synapses.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

A 6μW/MHz charge buffer with 7fF input capacitance in 65nm CMOS for non-contact electropotential sensing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Forward table-based presynaptic event-triggered spike-timing-dependent plasticity.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

2015
Unsupervised Learning in Synaptic Sampling Machines.
CoRR, 2015

A CMOS 4-channel MIMO baseband receiver with 65dB harmonic rejection over 48MHz and 50dB spatial signal separation over 3MHz at 1.3mW.
Proceedings of the Symposium on VLSI Circuits, 2015

2014
Quantum dash based photonic integrated circuits for optical telecommunications. (Circuits intégrés photoniques à base de boîtes quantiques pour télécommunications optiques).
PhD thesis, 2014

Energy-recycling integrated 6.78-Mbps data 6.3-mW power telemetry over a single 13.56-MHz inductive link.
Proceedings of the Symposium on VLSI Circuits, 2014

A 7.86 mW +12.5 dBm in-band IIP3 8-to-320 MHz capacitive harmonic rejection mixer in 65nm CMOS.
Proceedings of the ESSCIRC 2014, 2014

A 12.6 mW 8.3 Mevents/s contrast detection 128×128 imager with 75 dB intra-scene DR asynchronous random-access digital readout.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

2012
Fostering inclusive growth through e-Governance Embedded Rural Telecenters (EGERT) in India.
Gov. Inf. Q., 2012

Live demonstration: Hierarchical Address-Event Routing architecture for reconfigurable large scale neuromorphic systems.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Event-driven neural integration and synchronicity in analog VLSI.
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2012

65k-neuron integrate-and-fire array transceiver with address-event reconfigurable synaptic routing.
Proceedings of the 2012 IEEE Biomedical Circuits and Systems Conference, 2012

2011
Double Precision Sparse Matrix Vector Multiplication Accelerator on FPGA.
Proceedings of the PECCS 2011, 2011

2010
FPGA Based High Performance Double-Precision Matrix Multiplication.
Int. J. Parallel Program., 2010

Market creation and poverty alleviation through telecenters.
Proceedings of the ICEGOV 2010, Proceedings of the 4th International Conference on Theory and Practice of Electronic Governance, Beijing, China, October 25, 2010

Analysis of Varying AS Path Lengths from the Edge of the Network.
Proceedings of IEEE International Conference on Communications, 2010

2009
Sensor Selection via Convex Optimization.
IEEE Trans. Signal Process., 2009

2008
Multimedia Clip Generation From Documents for Browsing on Mobile Devices.
IEEE Trans. Multim., 2008

An Efficient Method for Large-Scale Gate Sizing.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

2006
Multimedia thumbnails for documents: implementation and demonstration.
Proceedings of the 14th ACM International Conference on Multimedia, 2006

Multimedia thumbnails for documents.
Proceedings of the 14th ACM International Conference on Multimedia, 2006

Computing a Multimedia Representation for Documents Given Time and Display Constraints.
Proceedings of the 2006 IEEE International Conference on Multimedia and Expo, 2006


  Loading...