Richard Dorrance

Orcid: 0000-0003-4756-5394

According to our database1, Richard Dorrance authored at least 19 papers between 2011 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
An Energy-Efficient Bayesian Neural Network Accelerator With CiM and a Time-Interleaved Hadamard Digital GRNG Using 22-nm FinFET.
IEEE J. Solid State Circuits, October, 2023

A 2-Gb/s UWB Transceiver for Short-Range Reconfigurable FDD Wireless Networks.
IEEE J. Solid State Circuits, May, 2023

A Charge Domain SRAM Compute-in-Memory Macro With C-2C Ladder-Based 8-Bit MAC Unit in 22-nm FinFET Process for Edge Inference.
IEEE J. Solid State Circuits, 2023

2022
Low latency communication over commercially available LTE and remote driving.
CoRR, 2022

A 32.2 TOPS/W SRAM Compute-in-Memory Macro Employing a Linear 8-bit C-2C Ladder for Charge Domain Computation in 22nm for Edge Inference.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

An Analysis of Complex-Valued CNNs for RF Data-Driven Wireless Device Classification.
Proceedings of the IEEE International Conference on Communications, 2022

2021
A Vector Processor for Mean Field Bayesian Channel Estimation.
IEEE Trans. Very Large Scale Integr. Syst., 2021

2020
An 802.11ba-Based Wake-Up Radio Receiver With Wi-Fi Transceiver Integration.
IEEE J. Solid State Circuits, 2020

A Digital Root Based Modular Reduction Technique for Power Efficient, Fault Tolerance in FPGAs.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

2019
An Ultra-Low Power, Fully Integrated Wake-Up Receiver and Digital Baseband with All-Digital Impairment Correction and -92.4dBm Sensitivity for 802.11ba.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

2018
802.11g/n Compliant Fully Integrated Wake-Up Receiver With -72-dBm Sensitivity in 14-nm FinFET CMOS.
IEEE J. Solid State Circuits, 2018


2016
A 190GFLOPS/W DSP for energy-efficient sparse-BLAS in embedded IoT.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

2015
An Energy-Efficient Sparse-BLAS Coprocessor using STT-MRAM.
PhD thesis, 2015

2014
A scalable sparse matrix-vector multiplication kernel for energy-efficient sparse-blas on FPGAs.
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014

2013
A single-precision compressive sensing signal reconstruction engine on FPGAs.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

2012
A body-voltage-sensing-based short pulse reading circuit for spin-torque transfer RAMs (STT-RAMs).
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

2011
Analysis of STT-RAM cell design with multiple MTJs per access.
Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, 2011

Scalability and design-space analysis of a 1T-1MTJ memory cell.
Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, 2011


  Loading...