Nikhil Shukla

Orcid: 0000-0002-8899-5190

According to our database1, Nikhil Shukla authored at least 32 papers between 2014 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Designing a K-state P-bit Engine.
CoRR, 2024

CMOS-Based Single-Cycle in-Memory XOR/XNOR.
IEEE Access, 2024

2023
Large-Scale Cardiac Muscle Cell-Based Coupled Oscillator Network for Vertex Coloring Problem.
Adv. Intell. Syst., May, 2023

A Note on Analyzing the Stability of Oscillator Ising Machines.
CoRR, 2023

2022
Computational Models based on Synchronized Oscillators for Solving Combinatorial Optimization Problems.
CoRR, 2022

CMOS-Compatible Ising Machines built using Bistable Latches Coupled through Ferroelectric Transistor Arrays.
CoRR, 2022

Design Space Analysis of Superconducting Nanowire-based Cryogenic Oscillators.
Proceedings of the Device Research Conference, 2022

2021
An Oscillator-based MaxSAT solver.
CoRR, 2021

A Three-terminal Non-Volatile Ferroelectric Switch with an Insulator-Metal Transition Channel.
CoRR, 2021

Creating Electronic Oscillator-based Ising Machines without External Injection Locking.
CoRR, 2021

Transformer-based Machine Learning for Fast SAT Solvers and Logic Synthesis.
CoRR, 2021

Cardiac Muscle Cell-Based Coupled Oscillator Network for Collective Computing.
Adv. Intell. Syst., 2021

Experimental Investigation of the Dynamics of Coupled Oscillators as Ising Machines.
IEEE Access, 2021

Graph Coloring Using Coupled Oscillator-Based Dynamical Systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Ferroelectric-based Accelerators for Computationally Hard Problems.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

2020
Using Noise to Augment Synchronization among Oscillators.
CoRR, 2020

Experimental Demonstration of a Reconfigurable Coupled Oscillator Platform to Solve the Max-Cut Problem.
CoRR, 2020

Ultra-Compact, Scalable, Energy-Efficient $VO_{2}$ Insulator-Metal-Transition Oxide Based Spiking Neurons for Liquid State Machines.
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020

2019
Solving the Maximum Independent Set Problem using Coupled Relaxation Oscillators.
Proceedings of the Device Research Conference, 2019

2018
Cockcroft-Walton Multiplier based on Unipolar Ag/HfO<sub>2</sub>/Pt Threshold Switch.
Proceedings of the 76th Device Research Conference, 2018

A Three-Terminal Edge-Triggered Mott Switch.
Proceedings of the 76th Device Research Conference, 2018

2017
A Multitask Grocery Assist System for the Visually Impaired: Smart glasses, gloves, and shopping carts provide auditory and tactile feedback.
IEEE Consumer Electron. Mag., 2017

Computational paradigms using oscillatory networks based on state-transition devices.
Proceedings of the 2017 International Joint Conference on Neural Networks, 2017

Connecting spectral techniques for graph coloring and eigen properties of coupled dynamics: A pathway for solving combinatorial optimizations (Invited paper).
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

2016
Enabling New Computation Paradigms with HyperFET - An Emerging Device.
IEEE Trans. Multi Scale Comput. Syst., 2016

Vertex coloring of graphs via phase dynamics of coupled oscillatory networks.
CoRR, 2016

Computing with Dynamical Systems Based on Insulator-Metal-Transition Oscillators.
CoRR, 2016

On the potential of correlated materials in the design of spin-based cross-point memories (Invited).
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

2015
Modeling and Simulation of Vanadium Dioxide Relaxation Oscillators.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

COAST: Correlated material assisted STT MRAMs for optimized read operation.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

2014
Exploiting Synchronization Properties of Correlated Electron Devices in a Non-Boolean Computing Fabric for Template Matching.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2014

Neuro Inspired Computing with Coupled Relaxation Oscillators.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014


  Loading...