Tan Yan

According to our database1, Tan Yan authored at least 61 papers between 2004 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Motion Guided Token Compression for Efficient Masked Video Modeling.
CoRR, 2024

2023
HallE-Switch: Rethinking and Controlling Object Existence Hallucinations in Large Vision Language Models for Detailed Caption.
CoRR, 2023

2022
Deep Cross-Modal Hashing With Hashing Functions and Unified Hash Codes Jointly Learning.
IEEE Trans. Knowl. Data Eng., 2022

Cross-Lingual Phrase Retrieval.
CoRR, 2022

Multi-objective Beetle Swarmoptimization for Portfolio Selection.
Proceedings of the Knowledge Science, Engineering and Management, 2022

Incorporating Casual Analysis into Diversified and Logical Response Generation.
Proceedings of the 29th International Conference on Computational Linguistics, 2022

Hierarchical Capsule Prediction Network for Marketing Campaigns Effect.
Proceedings of the 31st ACM International Conference on Information & Knowledge Management, 2022

2021
MSSM: A Multiple-level Sparse Sharing Model for Efficient Multi-Task Learning.
Proceedings of the SIGIR '21: The 44th International ACM SIGIR Conference on Research and Development in Information Retrieval, 2021

2020
SciNER: A Novel Scientific Named Entity Recognizing Framework.
Proceedings of the Natural Language Processing and Chinese Computing, 2020

2018
A Distributed Intersection Management Protocol for Safety, Efficiency, and Driver's Comfort.
IEEE Internet Things J., 2018

Exploiting Graph Regularized Multi-dimensional Hawkes Processes for Modeling Events with Spatio-temporal Characteristics.
Proceedings of the Twenty-Seventh International Joint Conference on Artificial Intelligence, 2018

2017
Ranking Causal Anomalies by Modeling Local Propagations on Networked Systems.
Proceedings of the 2017 IEEE International Conference on Data Mining, 2017

Identifying and quantifying nonlinear structured relationships in complex manufactural systems.
Proceedings of the 2017 IEEE International Conference on Big Data (IEEE BigData 2017), 2017

2015
A novel disjoint set division algorithm for joint scheduling and routing in wireless sensor networks.
Wirel. Networks, 2015

Scheduling Survivability-Heterogeneous Sensor Networks for Critical Location Surveillance.
ACM Trans. Sens. Networks, 2015

A Network Coding Based Energy Efficient Data Backup in Survivability-Heterogeneous Sensor Networks.
IEEE Trans. Mob. Comput., 2015

CrowdMi: Scalable and Diagnosable Mobile Voice Quality Assessment Through Wireless Analytics.
IEEE Internet Things J., 2015

Profiling Wireless Resource Usage for Mobile Apps via Crowdsourcing-Based Network Analytics.
IEEE Internet Things J., 2015

Collecting Vehicle Trajectory Through Message Dissemination.
Ad Hoc Sens. Wirel. Networks, 2015

Efficient Long-Term Degradation Profiling in Time Series for Complex Physical Systems.
Proceedings of the 21th ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, 2015

Time Series Segmentation to Discover Behavior Switching in Complex Physical Systems.
Proceedings of the 2015 IEEE International Conference on Data Mining, 2015

2014
A Grid-Based On-Road Localization System in VANET with Linear Error Propagation.
IEEE Trans. Wirel. Commun., 2014

Access Points Planning in Urban Area for Data Dissemination to Drivers.
IEEE Trans. Veh. Technol., 2014

DOVE: Data Dissemination to a Desired Number of Receivers in VANET.
IEEE Trans. Veh. Technol., 2014

Data Mining in Time Series: Current Study and Future Trend.
J. Comput. Sci., 2014

Detect smart intruders in sensor networks by creating network dynamics.
Comput. Networks, 2014

TOHIP: A topology-hiding multipath routing protocol in mobile ad hoc networks.
Ad Hoc Networks, 2014

2013
A routing algorithm for graphene nanoribbon circuit.
ACM Trans. Design Autom. Electr. Syst., 2013

Ivy: Interest-based Data Delivery in VANET through Neighbor Caching.
J. Cyber Secur. Mobil., 2013

Efficient aerial image simulation on multi-core SIMD CPU.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

2012
Correctly Model the Diagonal Capacity in Escape Routing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Advances in PCB Routing.
IPSJ Trans. Syst. LSI Des. Methodol., 2012

DOVE: Data dissemination to a fixed number of receivers in VANET.
Proceedings of the 9th Annual IEEE Communications Society Conference on Sensor, 2012

Roadside infrastructure planning for vehicle trajectory collection.
Proceedings of the 35th IEEE Sarnoff Symposium 2012, Newark, NJ, USA, May 21-22, 2012, 2012

2011
A New Strategy for Simultaneous Escape Based on Boundary Routing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

A Distributed Scheme for Securing Middle-Tier Multicast in Hierarchical Sensor Networks.
Ad Hoc Sens. Wirel. Networks, 2011

GOT: Grid-Based On-Road Localization through Inter-Vehicle Collaboration.
Proceedings of the IEEE 8th International Conference on Mobile Adhoc and Sensor Systems, 2011

Accelerating aerial image simulation with GPU.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Routing with graphene nanoribbons.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
A negotiated congestion based router for simultaneous escape routing.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

B-escape: a simultaneous escape routing algorithm based on boundary routing.
Proceedings of the 2010 International Symposium on Physical Design, 2010

On the escape routing of differential pairs.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Recent research development in PCB layout.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

An optimal algorithm for finding disjoint rectangles and its application to PCB routing.
Proceedings of the 47th Design Automation Conference, 2010

Optimal simultaneous pin assignment and escape routing for dense PCBs.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Theories and algorithms on single-detour routing for untangling twisted bus.
ACM Trans. Design Autom. Electr. Syst., 2009

BSG-Route: A Length-Constrained Routing Scheme for General Planar Topology.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

A Power-Efficient Scheme for Securing Multicast in Hierarchical Sensor Networks.
Proceedings of the 18th International Conference on Computer Communications and Networks, 2009

Optimal layer assignment for escape routing of buses.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

A correct network flow model for escape routing.
Proceedings of the 46th Design Automation Conference, 2009

Automatic bus planner for dense PCBs.
Proceedings of the 46th Design Automation Conference, 2009

2008
Fast wire length estimation in obstructive block placement.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

BSG-Route: a length-matching router for general topology.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

2007
Untangling twisted nets for bus routing.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Optimal bus sequencing for escape routing in dense PCBs.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

A Theoretical Study on Wire Length Estimation Algorithms for Placement with Opaque Blocks.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
Formulating the Empirical Strategies in Module Generation of Analog MOS Layout.
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006

Fast wire length estimation by net bundling for block placement.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

How does partitioning matter for 3D floorplanning?
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

2005
A robust and correct computation for the curvilinear routing problem.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

2004
A packing algorithm for non-manhattan hexagon/triangle placement design by using an adaptive o-tree representation.
Proceedings of the 41th Design Automation Conference, 2004


  Loading...