Yasuhiro Takashima

According to our database1, Yasuhiro Takashima authored at least 36 papers between 1996 and 2021.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
Theoretical and Experimental Analysis of Traveling Salesman Walk Problem.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2021

2018
Task Allocation and Scheduling Optimization in the Heterogeneous Core System.
Proceedings of the 2018 New Generation of CAS, 2018

Fast Approximate Algorithm for the Single Source Shortest Path with Lazy Update.
Proceedings of the 2018 New Generation of CAS, 2018

2016
An Online Task Placement Algorithm Based on MER Enumeration for Partially Reconfigurable Device.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2016

A Fast MER Enumeration Algorithm for Online Task Placement on Reconfigurable FPGAs.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2016

A multi-functional memory unit with PLA-based reconfigurable decoder.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2016

PEVaS: Power and execution-time variation-aware scheduling for MPSoC.
Proceedings of the 14th IEEE International New Circuits and Systems Conference, 2016


2015
Inter-FPGA Routing for Partially Time-Multiplexing Inter-FPGA Signals on Multi-FPGA Systems with Various Topologies.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

Analytical placement for rectilinear blocks.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
A randomized algorithm for the fixed-length routing problem.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

Delay estimation method for correlated net delay variations.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

2013
An Effective Overlap Removable Objective for Analytical Placement.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

An acceleration method by GPGPU for analytical placement using quasi-Newton method.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

2012
Sub-path delay estimation for reconvergent path.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

2010
Globally Optimal Time-multiplexing of Inter-FPGA Connections for Multi-FPGA Prototyping Systems.
IPSJ Trans. Syst. LSI Des. Methodol., 2010

Delay analysis of sub-path on fabricated chips by several path-delay tests.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

2009

Globally optimal time-multiplexing in inter-FPGA connections for accelerating multi-FPGA systems.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009

2008
Optimal Time-Multiplexing in Inter-FPGA Connections for Accelerating Multi-FPGA Prototyping Systems.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Post-Silicon Clock-Timing Tuning Based on Statistical Estimation.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

ILP-based optimization of time-multiplexed I/O assignment for multi-FPGA systems.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Fast wire length estimation in obstructive block placement.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

2007
A Relocation Method for Circuit Modifications.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007

A Performance-Driven Circuit Bipartitioning Method Considering Time-Multiplexed I/Os.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007

A Theoretical Study on Wire Length Estimation Algorithms for Placement with Opaque Blocks.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
The Oct-Touched Tile: A New Architecture for Shape-Based Routing.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

How does partitioning matter for 3D floorplanning?
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

A performance-driven circuit bipartitioning algorithm for multi-FPGA implementation with time-multiplexed I/Os.
Proceedings of the 2006 IEEE International Conference on Field Programmable Technology, 2006

2004
A fast algorithm for crosspoint assignment under crosstalk constraints with shielding effects.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

A device-level placement with multi-directional convex clustering.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

Multi-level placement with circuit schema based clustering in analog IC layouts.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

Abstraction and optimization of consistent floorplanning with pillar block constraints.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2002
Two-dimensional placement method based on divide-and-replacement.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2002, 2002

2000
Self-reforming routing for stochastic search in VLSI interconnection layout.
Proceedings of ASP-DAC 2000, 2000

1996
Detailed-Routability of FPGAs with Extremal Switch-Block Structures.
Proceedings of the 1996 European Design and Test Conference, 1996


  Loading...