Tianzhou Chen

According to our database1, Tianzhou Chen authored at least 181 papers between 1995 and 2018.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2018
Last level cache layout remapping for heterogeneous systems.
J. Syst. Archit., 2018

2017
Enable back memory and global synchronization on LLC buffer.
J. Supercomput., 2017

Shared write buffer to boost applications on SpMT architecture.
J. Supercomput., 2017

2016
Architecture supported register stash for GPGPU.
J. Parallel Distributed Comput., 2016

Two Methods for Combining Original Memory Access Coalescing and Equivalent Memory Access Coalescing on GPGPU.
Proceedings of the 13th International Conference on Embedded Software and Systems, 2016

LLC Buffer for Arbitrary Data Sharing in Heterogeneous Systems.
Proceedings of the 18th IEEE International Conference on High Performance Computing and Communications; 14th IEEE International Conference on Smart City; 2nd IEEE International Conference on Data Science and Systems, 2016

WAP: The Warp Feature Aware Prefetching Method for LLC on CPU-GPU Heterogeneous Architecture.
Proceedings of the 18th IEEE International Conference on High Performance Computing and Communications; 14th IEEE International Conference on Smart City; 2nd IEEE International Conference on Data Science and Systems, 2016

2015
Exploiting Page Write Pattern for Power Management of Hybrid DRAM/PRAM Memory System.
J. Inf. Sci. Eng., 2015

MCMG simulator: A unified simulation framework for CPU and graphic GPU.
J. Comput. Syst. Sci., 2015

CWC: A Companion Write Cache for Energy-Aware Multi-Level Spin-Transfer Torque RAM Cache Design.
J. Circuits Syst. Comput., 2015

Analyzing Memory Access on CPU-GPGPU Shared LLC Architecture.
Proceedings of the 14th International Symposium on Parallel and Distributed Computing, 2015

Shared Write Buffer to Support Data Sharing Among Speculative Multi-threading Cores.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Shared Write Buffer to Support Speculative Execution.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Equidistant Memory Access Coalescing on GPGPU.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Buffer Filter: A Last-Level Cache Management Policy for CPU-GPGPU Heterogeneous System.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Exploring Predictable Redundant Instruction Parallelism in Fault Tolerant Microprocessors.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Making GPU Warp Scheduler and Memory Scheduler Synchronization-Aware.
Proceedings of the Cloud Computing and Big Data, 2015

2014
Optimizing memory access traffic via runtime thread migration for on-chip distributed memory systems.
J. Supercomput., 2014

A reconfigurable processor architecture combining multi-core and reconfigurable processing units.
Telecommun. Syst., 2014

Packet triggered prediction based task migration for network-on-chip.
Microprocess. Microsystems, 2014

Improving branch divergence performance on GPGPU with a new PDOM stack and multi-level warp scheduling.
J. Syst. Archit., 2014

Direct distributed memory access for CMPs.
J. Parallel Distributed Comput., 2014

Potential thread-level-parallelism exploration with superblock reordering.
Computing, 2014

SimLuator: A multi-core CPU simulator with dynamic language Lua.
Proceedings of the 4th International Conference On Simulation And Modeling Methodologies, 2014

An Exploration of Page Replication for NoC-Based On-Chip Distributed Memory Systems.
Proceedings of the 22nd Euromicro International Conference on Parallel, 2014

Conflict-Free Code Block Scheduling to Hide SpMT Inter-Core Register Sync Delay.
Proceedings of the 15th International Conference on Parallel and Distributed Computing, 2014

Improve LLC Bypassing Performance by Memory Controller Improvements in Heterogeneous Multicore System.
Proceedings of the 15th International Conference on Parallel and Distributed Computing, 2014

SAMNoC: A Novel Optical Network-on-Chip for Energy-Efficient Memory Access.
Proceedings of the IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, 2014

Agent-Based Memory Access for Many-Core CMPs.
Proceedings of the IEEE 13th International Symposium on Parallel and Distributed Computing, 2014

An Exploration on Quantity and Layout of Wireless Nodes for Hybrid Wireless Network-on-Chip.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

HSR: Hierarchical Source Routing Model for Network-on-Chip.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

CABSR: Congestion Agent Based Source Routing for Network-on-Chip.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

Buffer on Last Level Cache for CPU and GPGPU Data Sharing.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

Core Affinity Code Block Schedule to Reduce Inter-core Data Synchronization of SpMT.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

A Speculative Mechanism for Barrier Synchronization.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

SmartMig: A Case for Page Migration and Self-Interleaving for On-Chip Distributed Memory Systems.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

Benefit of Unbalanced Traffic Distribution for Improving Local Optimization Efficiency in Network-on-Chip.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

Offline Data Dependence Analysis to Facilitate Runtime Parallelism Extraction.
Proceedings of the 17th IEEE International Conference on Computational Science and Engineering, 2014

A quantitative quality control method of big data in cancer patients using artificial neural network.
Proceedings of the IEEE 3rd International Conference on Cloud Computing and Intelligence Systems, 2014

Design and Evaluation of Virtual Channel-Based Optical-Electrical Interface for Optical Network-on-Chip.
Proceedings of the 14th IEEE International Conference on Computer and Information Technology, 2014

2013
An energy-aware online task mapping algorithm in NoC-based system.
J. Supercomput., 2013

Low-Cost Ultrasonic Distance Sensor Arrays with Networked Error Correction.
Sensors, 2013

Regional cache organization for NoC based many-core processors.
J. Comput. Syst. Sci., 2013

A Selective Read-before-Write Scheme for Energy-Aware Spin Torque Transfer RAM (STT-RAM) Cache Design.
J. Circuits Syst. Comput., 2013

Agent-Based Traffic Merging in Network-on-Chip.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

An Energy-Efficient Scheme for STT-RAM L1 Cache.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

Phase Based and Application Based Dynamic Encoding Scheme for Multi-level Cell STT-RAM.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

2012
Cost Minimization with HPDFG and Data Mining for Heterogeneous DSP.
J. Signal Process. Syst., 2012

Three-Phase Algorithms for Task Scheduling in Distributed Mobile DSP System with Lifetime Constraints.
J. Signal Process. Syst., 2012

Process Scheduling Based Memory Energy Management for Multi-Core Mobile Devices.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2012

A Fault-Tolerant Architecture with Error Correcting Code for the Instruction-Level Temporal Redundancy.
IEICE Trans. Inf. Syst., 2012

Global register alias table: Boosting sequential program on multi-core.
Future Gener. Comput. Syst., 2012

A Multivariate Classification Algorithm for Malicious Node Detection in Large-Scale WSNs.
Proceedings of the 11th IEEE International Conference on Trust, 2012

Packet Triggered Prediction Based Task Migration for Network-on-Chip.
Proceedings of the 20th Euromicro International Conference on Parallel, 2012

A CPU-GPGPU Scheduler Based on Data Transmission Bandwidth of Workload.
Proceedings of the 13th International Conference on Parallel and Distributed Computing, 2012

Block-based hardware scheduler design on Many-core architecture.
Proceedings of the 21st IEEE International Symposium on Industrial Electronics, 2012

Thermal-Aware Feedback Control Scheduling for Soft Real-time Systems.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

A Software-hardware Collaborating Framework for Wear Leveling on Phase Change Memory.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

Exploring Potential Parallelism of Sequential Programs with Superblock Reordering.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

TrafficLite: A Configurable On-Chip Interconnect Router Microarchitecture.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

Migration between Software and Hardware Task on Preemptive Multitasking CPU/FPGA Hybrid Architecture.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

Local Resource Accessing Mechanism on Multiple Mobile Platform.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

Incremental Run-time Application Mapping for Heterogeneous Network on Chip.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

A Cross-platform Application Development Environment Supported by Cloud Service.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

The Android Application Development College Challenge.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

Parallel Speculative Dom-based XML Parser.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

Dealing with the Functional Units Starvation in SMT.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

Faculty Training of Computer Hardware Courses Based on University-enterprise Cooperation.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

Design of a High-Throughput NoC Router with Neighbor Flow Regulation.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

Improve GPGPU Latency Hiding with a Hybrid Recovery Stack and a Window Based Warp Scheduling Policy.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

Record Branch Prediction: An Optimized Scheme for Two-level Branch Predictors.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

2011
Resource allocation robustness in multi-core embedded systems with inaccurate information.
J. Syst. Archit., 2011

Data Transmission with the Battery Utilization Maximization.
J. Comput. Sci. Technol., 2011

Leakage Aware Scheduling for Maximum Temperature Minimization.
Proceedings of the 12th International Conference on Parallel and Distributed Computing, 2011

Access Pattern Based Re-reference Interval Table for Last Level Cache.
Proceedings of the 12th International Conference on Parallel and Distributed Computing, 2011

PeRex: A Power Efficient FPGA-based Architecture for Regular Expression Matching.
Proceedings of the 2011 IEEE/ACM International Conference on Green Computing and Communications (GreenCom), 2011

Load-Aware Dynamic Partial Reconfiguration Implementation of Crossbar Scheduler.
Proceedings of the IEEE Ninth International Conference on Dependable, 2011

Global Priority Table for Last-Level Caches.
Proceedings of the IEEE Ninth International Conference on Dependable, 2011

Power management strategies in data transmission.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

Battery-aware task scheduling in distributed mobile systems with lifetime constraint.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
Critical-Path Driven Routers for on-Chip Networks.
J. Circuits Syst. Comput., 2010

Embedded hard real-time scheduling algorithm based on task's resource requirement.
Int. J. High Perform. Comput. Netw., 2010

Run-time configuration prefetching to reduce the overhead of dynamically reconfiguration.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010

Single Thread Program Parallelism with Dataflow Abstracting Thread.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2010

Function Units Sharing between Neighbor Cores in CMP.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2010

Shared Register File Based ILP for Multicore.
Proceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications, 2010

Construction of Mobile Internet Courses.
Proceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications, 2010

A Fault-tolerant Architecture with Error Correcting Code for the Instruction-level Temporal Redundancy.
Proceedings of the IEEE/IFIP 8th International Conference on Embedded and Ubiquitous Computing, 2010

Real-Time Constrained Task Scheduling in 3D Chip Multiprocessor to Reduce Peak Temperature.
Proceedings of the IEEE/IFIP 8th International Conference on Embedded and Ubiquitous Computing, 2010

Hierarchical Construction of Teaching Faculty in Computer Organization and Design.
Proceedings of the CSEDU 2010 - Proceedings of the Second International Conference on Computer Supported Education, Valencia, Spain, April 7-10, 2010, 2010

Input-Driven Reconfiguration for Area and Performance Adaption of Reconfigurable Accelerators.
Proceedings of the 13th IEEE International Conference on Computational Science and Engineering, 2010

Homogeneous NoC-based FPGA: The Foundation for Virtual FPGA.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

A Reconfigurable Processor Architecture Combining Multi-core and Reconfigurable Processing Unit.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

Augmenting NoC with Naming Service for Slot-based Reconfigurable Devices on Chip.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

Virtual I/O Based on ScratchPad Memory for Embedded System.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

On-Chip Operating System Design for NoC-Based CMP.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

Global Register Alias Table: Executing Sequential Program on Multi-Core.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

Network Main Memory Architecture for NoC-Based Chips.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

Design of Teaching System for Computer Network Application Learning.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

A Bypass Optimization Method for Network on Chip.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

An Efficient Power-Aware Optimization for Task Scheduling on NoC-based Many-core System.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

Distributed On-Chip Operating System for Network on Chip.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

Smartphone Software Development Course Design Based on Android.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

Promoting Multi-Core Education via Special Training and Workshop Program.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

Distributed Memory Management Units Architecture for NoC-based CMPs.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

2009
A Novel Operating System on Chip with Information Security Support for Embedded System.
J. Softw., 2009

Guest Editorial.
J. Softw., 2009

Research for Data Mining Applying in the Architecture of Web-Learning.
Proceedings of the Web Information Systems and Mining, International Conference, 2009

A Tightly Coupled Network-on-Chip Router Architecture.
Proceedings of the International Conference on Scalable Computing and Communications / Eighth International Conference on Embedded Computing, 2009

The Design and Implementation of Adaptive Reconfigurable Computing Array.
Proceedings of the International Conference on Scalable Computing and Communications / Eighth International Conference on Embedded Computing, 2009

CMP Thread Assignment Based on Group Sharing L2 Cache.
Proceedings of the International Conference on Scalable Computing and Communications / Eighth International Conference on Embedded Computing, 2009

Efficient Scratchpad Memory Management Based on Multi-thread for MPSoC Architecture.
Proceedings of the International Conference on Scalable Computing and Communications / Eighth International Conference on Embedded Computing, 2009

Online System Support for Computer Organization Course.
Proceedings of the International Conference on Scalable Computing and Communications / Eighth International Conference on Embedded Computing, 2009

ZELU: A Case Study of Computer Technology in Electronic Labour Union Construction in Zhejiang University.
Proceedings of the International Conference on Scalable Computing and Communications / Eighth International Conference on Embedded Computing, 2009

The Implementation of a Mobile Java Debug Tool.
Proceedings of the International Conference on Scalable Computing and Communications / Eighth International Conference on Embedded Computing, 2009

Optimistic Peripheral Devices Performance by Virtual Regionalized Network-on-Chip.
Proceedings of the International Conference on Scalable Computing and Communications / Eighth International Conference on Embedded Computing, 2009

Efficient Utilization of Scratch-Pad Memory for Embedded Systems.
Proceedings of the Seventh Annual IEEE International Conference on Pervasive Computing and Communications, 2009

Development and calibration of a low cost wireless camera sensor network.
Proceedings of the 2009 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2009

Less reused filter: improving l2 cache performance via filtering less reused lines.
Proceedings of the 23rd international conference on Supercomputing, 2009

An Application Mapping Scheme over Distributed Reconfigurable System.
Proceedings of the 15th IEEE International Conference on Parallel and Distributed Systems, 2009

Research on Web Server Application on Multi-core Embedded System.
Proceedings of the International Conference on Embedded Software and Systems, 2009

A fast algorithm for energy-aware mapping of cores onto WK-recursive NoC under performance constraints.
Proceedings of the 16th International Conference on High Performance Computing, 2009

The input-aware dynamic adaptation of area and performance for reconfigurable accelerator.
Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, 2009

Learning Support Service for Distance Learning Curriculum of Information Security.
Proceedings of the 2009 International Conference on Frontiers in Education: Computer Science & Computer Engineering, 2009

An Exploration Program for Undergraduate Research Training.
Proceedings of the 2009 International Conference on Frontiers in Education: Computer Science & Computer Engineering, 2009

Serial Application Accelerating with Pipelined Configuration Slicing on Dynamic Reconfigurable Hardware.
Proceedings of the 2009 International Conference on Embedded Systems & Applications, 2009

eNSTM: a Nested Software Transactional Memory Framework for MPSoC System.
Proceedings of the 2009 International Conference on Embedded Systems & Applications, 2009

A Performance Model for Run-Time Reconfigurable Hardware Accelerator.
Proceedings of the Advanced Parallel Processing Technologies, 8th International Symposium, 2009

L1 Collective Cache: Managing Shared Data for Chip Multiprocessors.
Proceedings of the Advanced Parallel Processing Technologies, 8th International Symposium, 2009

SPMTM: A Novel ScratchPad Memory Based Hybrid Nested Transactional Memory Framework.
Proceedings of the Advanced Parallel Processing Technologies, 8th International Symposium, 2009

An Adaptive Energy Efficient Transmission Protocol in Wireless Ad-hoc Network.
Proceedings of the NASA/ESA Conference on Adaptive Hardware and Systems, 2009

2008
Study of Heterogeneous Distributed Resource Warehouse Semantic Retrieving Based on Multi-agent.
Proceedings of the Advances in Blended Learning, Second Workshop on Blended Learning, 2008

Exploring multicore computing education in China by model curriculum construction.
Proceedings of the First ACM Summit on Computing Education in China, 2008

Power-Aware Code Restructuring for Embedded Parallel Storing Device.
Proceedings of the Sixth Annual IEEE International Conference on Pervasive Computing and Communications (PerCom 2008), 2008

PZSPTF: Parallelism-aware and Zone-based Shortest Positioning TimeFirst Scheduling for MEMS-based Storage Devices.
Proceedings of the Sixth Annual IEEE International Conference on Pervasive Computing and Communications (PerCom 2008), 2008

Component-based Network Protocol Architecture for Multi-core.
Proceedings of The 2008 IEEE International Conference on Networking, 2008

Dynamic power management framework for multi-core portable embedded system.
Proceedings of the 1st international forum on Next-generation multicore/manycore technologies, 2008

Computer Ability Assisted Assessment System for Large-Scale Heterogeneous Distributed Environments.
Proceedings of the 9th International Conference for Young Computer Scientists, 2008

Introduction to the Reform on the Course of Fundamental Logic and Computer Design.
Proceedings of the 9th International Conference for Young Computer Scientists, 2008

The Practice of Remote Education on Information Security.
Proceedings of the 9th International Conference for Young Computer Scientists, 2008

Adapting Experiments of Embedded System Curriculum Designed Based on Embedded IA to Atom.
Proceedings of the 14th International Conference on Parallel and Distributed Systems, 2008

The Organization of Intel Cup Undergraduate Embedded System Electronic Design Contest.
Proceedings of the 14th International Conference on Parallel and Distributed Systems, 2008

An On-chip Communication Mechanism Design in the Embedded Heterogeneous Multi-core Architecture.
Proceedings of the IEEE International Conference on Networking, Sensing and Control, 2008

Program Sections Allocation to Scratchpad Memory based on Frequency Analysis.
Proceedings of the IEEE International Conference on Networking, Sensing and Control, 2008

Dynamic Compilation Framework with DVS for Reducing Energy Consumption in Embedded Processors.
Proceedings of the International Conference on Embedded Software and Systems, 2008

A Virus Detection Framework based on SPMOS.
Proceedings of the International Conference on Embedded Software and Systems, 2008

Multicore Challenge in Pervasive Computing Education.
Proceedings of the Workshops at the Grid and Pervasive Computing Conference, 2008

Heterogeneous Multi-core Design for Information Retrieval Efficiency on the Vector Space Model.
Proceedings of the Fifth International Conference on Fuzzy Systems and Knowledge Discovery, 2008

Coordinating System Software for Power Savings.
Proceedings of the Second International Conference on Future Generation Communication and Networking, 2008

A Practical Dynamic Frequency Scaling Scheduling Algorithm for General Purpose Embedded Operating System.
Proceedings of the Second International Conference on Future Generation Communication and Networking, 2008

A Java Development Platform in Mobile System for Smart Home.
Proceedings of the Second International Conference on Future Generation Communication and Networking, 2008

Online Programming Experience Platform for Multicore Curriculum.
Proceedings of the International Conference on Computer Science and Software Engineering, 2008

Experiences in Collaboration with Intel Corporation in Embedded Education.
Proceedings of the International Conference on Computer Science and Software Engineering, 2008

Model Curriculum Construction of Embedded System in Zhejiang University.
Proceedings of the International Conference on Computer Science and Software Engineering, 2008

2007
A function-based on-chip communication design in the heterogeneous multi-core architecture.
Proceedings of the 2007 International Conference on Multimedia and Ubiquitous Engineering (MUE 2007), 2007

A Novel Architecture for Embedded Database Management System on Chip.
Proceedings of the 2007 International Conference on Multimedia and Ubiquitous Engineering (MUE 2007), 2007

Embedded education for Computer Rank Examination.
Proceedings of the 13th International Conference on Parallel and Distributed Systems, 2007

A New Type of Embedded File System Based on SPM.
Proceedings of the Embedded Software and Systems, [Third] International Conference, 2007

The Design and Implementation of the DVS Based Dynamic Compiler for Power Reduction.
Proceedings of the Advanced Parallel Processing Technologies, 7th International Symposium, 2007

2006
An Agile BSP Modeling Methodology: Cross Platform BSP Framework (CPBF).
Proceedings of the 17th IEEE International Workshop on Rapid System Prototyping (RSP 2006), 2006

Assigning Program To Cache-Aware Scratchpad Concerning The Influence Of The Whole Embedded System.
Proceedings of the 2006 International Workshop on Networking, 2006

Microkernel of Embedded Operating System in SRAM.
Proceedings of the 2006 International Workshop on Networking, 2006

Smart File System: Embedded File System Based on NAND-Flash.
Proceedings of the 2006 International Workshop on Networking, 2006

A Real-Time Scheduling Algorithm for Embedded Systems With Various Resource Requirements.
Proceedings of the 2006 International Workshop on Networking, 2006

An implementation of power-aware storage architecture.
Proceedings of the International Conference on Wireless Communications and Mobile Computing, 2006

Effectively implement AES for EFI/Tiano based on IA-32 Platform.
Proceedings of the Third International Conference on Information Technology: New Generations (ITNG 2006), 2006

Partitioning the program into different regions using dynamic and static approach with kernel-assisted in power management for embedded system.
Proceedings of the 2006 IEEE International Conference on Information Reuse and Integration, 2006

New power aware multicast algorithm in mobile Ad hoc network.
Proceedings of the 2006 International Conference on Wireless Networks, 2006

Style Conversion of Cartoon Animation.
Proceedings of the Technologies for E-Learning and Digital Entertainment, 2006

Chip OS: new architecture for next generation embedded system.
Proceedings of the 2006 International Conference on Embedded Systems & Applications, 2006

Power-Efficient Microkernel of Embedded Operating System on Chip.
Proceedings of the Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, 2006

Real-Time Scheduling Algorithm Based on Set Division of Resource for Embedded Operating System.
Proceedings of the Sixth International Conference on Computer and Information Technology (CIT 2006), 2006

2005
Unified Mobile Protocol Stack with Network Sub-Protocol Component Framework.
Proceedings of the IASTED International Conference on Parallel and Distributed Computing and Networks, 2005

CDP: Component Development Platform for Communication Protocols.
Proceedings of the Embedded Software and Systems, Second International Conference, 2005

An Agent Component-Oriented Software Process.
Proceedings of the 2005 IEEE/WIC/ACM International Conference on Intelligent Agent Technology, 2005

Communication Protocol Decomposition and Component-based Protocol Submodule.
Proceedings of the IASTED International Conference on Software Engineering, 2005

2004
The Modeling for Dynamic Power Management of Embedded Systems.
Proceedings of the Embedded Software and Systems, First International Conference, 2004

A New Approach for Predictable Hard Real-Time Transaction Processing in Embedded Database.
Proceedings of the Embedded Software and Systems, First International Conference, 2004

1997
Three-dimensional volume datafield reconstruction from physical model.
J. Comput. Sci. Technol., 1997

1996
Displaying of details in subvoxel accuracy.
J. Comput. Sci. Technol., 1996

1995
Rendering of Surface and Volume Details in Volume Data.
Comput. Graph. Forum, 1995


  Loading...