Zhiping Jia

Orcid: 0000-0002-7769-4771

According to our database1, Zhiping Jia authored at least 164 papers between 2004 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Branch Predictor Design for Energy Harvesting Powered Nonvolatile Processors.
IEEE Trans. Computers, March, 2024

ASHL: An Adaptive Multi-Stage Distributed Deep Learning Training Scheme for Heterogeneous Environments.
IEEE Trans. Computers, January, 2024

2023
ChainKV: A Semantics-Aware Key-Value Store for Ethereum System.
Proc. ACM Manag. Data, December, 2023

Psychological Crisis Prediction of Students Based on Network Behavior by Big Data Mining.
Int. J. Emerg. Technol. Learn., June, 2023

A Multiagent Reinforcement Learning-Assisted Cache Cleaning Scheme for DM-SMR.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

Runtime Row/Column Activation Pruning for ReRAM-based Processing-in-Memory DNN Accelerators.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Correlation-guided Placement for Nonvolatile FPGAs.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Reinforcement Learning-Assisted Management for Convertible SSDs.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
Optimization for deep convolutional neural network of stochastic computing on MLC-PCM-based system.
Microprocess. Microsystems, April, 2022

DQN based page allocation for ReRAM main memory.
Microprocess. Microsystems, March, 2022

Prediction of College Students' Psychological Crisis with a Neural Network Optimized by Harmony Search Algorithm.
Int. J. Emerg. Technol. Learn., January, 2022

A Survey of Blockchain Data Management Systems.
ACM Trans. Embed. Comput. Syst., 2022

A Practical Highly Paralleled ReRAM-Based DNN Accelerator by Reusing Weight Pattern Repetitions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Deep Reinforcement-Learning-Guided Backup for Energy Harvesting Powered Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Prism-SSD: A Flexible Storage Interface for SSDs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

PQ-PIM: A pruning-quantization joint optimization framework for ReRAM-based processing-in-memory DNN accelerator.
J. Syst. Archit., 2022

Understanding Characteristics and System Implications of DAG-Based Blockchain in IoT Environments.
IEEE Internet Things J., 2022

Re-LSM: A ReRAM-Based Processing-in-Memory Framework for LSM-Based Key-Value Store.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

End-Edge Coordinated Multiview Deep Learning for Time-Efficient Atrial Fibrillation Detection.
Proceedings of the 24th IEEE Int Conf on High Performance Computing & Communications; 8th Int Conf on Data Science & Systems; 20th Int Conf on Smart City; 8th Int Conf on Dependability in Sensor, 2022

Removing Double-Logging with Passive Data Persistence in LSM-tree based Relational Databases.
Proceedings of the 20th USENIX Conference on File and Storage Technologies, 2022

Design and Application of Fully Hydraulic Intelligent Screw Clearing Machine for Grain Silos.
Proceedings of CECNet 2022, 2022

2021
Pearl: Performance-Aware Wear Leveling for Nonvolatile FPGAs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Leveraging the Interplay of RAID and SSD for Lifetime Optimization of Flash-Based SSD RAID.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

An efficient highly parallelized ReRAM-based architecture for motion estimation of HEVC.
J. Syst. Archit., 2021

A lightweight online backup manager for energy harvesting powered nonvolatile processor systems.
J. Syst. Archit., 2021

Fast-convergent federated learning with class-weighted aggregation.
J. Syst. Archit., 2021

DAP-Sketch: An accurate and effective network measurement sketch with Deterministic Admission Policy.
Comput. Networks, 2021

Improving CNN performance on FPGA clusters through topology exploration.
Proceedings of the SAC '21: The 36th ACM/SIGAPP Symposium on Applied Computing, 2021

Less is More: De-amplifying I/Os for Key-value Stores with a Log-assisted LSM-tree.
Proceedings of the 37th IEEE International Conference on Data Engineering, 2021

Block-LSM: An Ether-aware Block-ordered LSM-tree based Key-Value Storage Engine.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

Accelerating DCNNs via Cooperative Weight/Activation Compression.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2021

Reinforcement Learning-Assisted Cache Cleaning to Mitigate Long-Tail Latency in DM-SMR.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Applying Multiple Level Cell to Non-volatile FPGAs.
ACM Trans. Embed. Comput. Syst., 2020

UniBuffer: Optimizing Journaling Overhead With Unified DRAM and NVM Hybrid Buffer Cache.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Scope-Aware Useful Cache Block Calculation for Cache-Related Pre-Emption Delay Analysis With Set-Associative Data Caches.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

An Efficient Directory Entry Lookup Cache With Prefix-Awareness for Mobile Devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

A Highly Parallelized PIM-Based Accelerator for Transaction-Based Blockchain in IoT Environment.
IEEE Internet Things J., 2020

Optimizing Motion Estimation with an ReRAM-Based PIM Architecture.
Proceedings of the Wireless Algorithms, Systems, and Applications, 2020

Understanding Intrinsic Characteristics and System Implications of DAG-based Blockchain.
Proceedings of the 2020 IEEE International Conference on Embedded Software and Systems, 2020

Sequence-To-Subsequence Learning With Conditional Gan For Power Disaggregation.
Proceedings of the 2020 IEEE International Conference on Acoustics, 2020

HF-BF: A Hotness-aware Fine-grained Bloom Filter for Unique Address Checking in IoT Blockchain.
Proceedings of the 22nd IEEE International Conference on High Performance Computing and Communications; 18th IEEE International Conference on Smart City; 6th IEEE International Conference on Data Science and Systems, 2020

CLOCK-RWRF: A Read-Write-Relative-Frequency Page Replacement Algorithm for PCM and DRAM of Hybrid Memory.
Proceedings of the 22nd IEEE International Conference on High Performance Computing and Communications; 18th IEEE International Conference on Smart City; 6th IEEE International Conference on Data Science and Systems, 2020

Maximizing CNN Throughput on FPGA Clusters.
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020

Q-learning Based Backup for Energy Harvesting Powered Embedded Systems.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

PattPIM: A Practical ReRAM-Based DNN Accelerator by Reusing Weight Pattern Repetitions.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
EMC: Energy-Aware Morphable Cache Design for Non-Volatile Processors.
IEEE Trans. Computers, 2019

Re-Tangle: A ReRAM-based Processing-in-Memory Architecture for Transaction-based Blockchain.
Proceedings of the International Conference on Computer-Aided Design, 2019

Accurate Network Flow Measurement with Deterministic Admission Policy.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2019

Dynamically Reconfigurable Architecture for High-Throughput Hash Function in Key-Value Store.
Proceedings of the 21st IEEE International Conference on High Performance Computing and Communications; 17th IEEE International Conference on Smart City; 5th IEEE International Conference on Data Science and Systems, 2019

Performance-aware Wear Leveling for Block RAM in Nonvolatile FPGAs.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Shared Last-Level Cache Management and Memory Scheduling for GPGPUs with Hybrid Main Memory.
ACM Trans. Embed. Comput. Syst., 2018

NVM-Based FPGA Block RAM With Adaptive SLC-MLC Conversion.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Enhancing the performance of process level redundancy with coprocessors in symmetric multiprocessors.
Int. J. Comput. Sci. Eng., 2018

ETMRM: An Energy-efficient Trust Management and Routing Mechanism for SDWSNs.
Comput. Networks, 2018

Mobility Analysis and Response for Software-Defined Internet of Things.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2018

H<sup>2</sup>-RAID: A Novel Hybrid RAID Architecture Towards High Reliability.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2018

An SDN-based Network Architecture for Internet of Things.
Proceedings of the 20th IEEE International Conference on High Performance Computing and Communications; 16th IEEE International Conference on Smart City; 4th IEEE International Conference on Data Science and Systems, 2018

Set variation-aware shared LLC management for CPU-GPU heterogeneous architecture.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
SLA-aware energy-efficient scheduling scheme for Hadoop YARN.
J. Supercomput., 2017

State Asymmetry Driven State Remapping in Phase Change Memory.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Stack-Size Sensitive On-Chip Memory Backup for Self-Powered Nonvolatile Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Energy-aware morphable cache management for self-powered non-volatile processors.
Proceedings of the 23rd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2017

Scope-Aware Useful Cache Block Analysis for Data Cache Related Preemption Delay.
Proceedings of the 2017 IEEE Real-Time and Embedded Technology and Applications Symposium, 2017

Runtime and reconfiguration dual-aware placement for SRAM-NVM hybrid FPGAs.
Proceedings of the IEEE 6th Non-Volatile Memory Systems and Applications Symposium, 2017

Unified nvTCAM and sTCAM architecture for improving packet matching performance.
Proceedings of the 18th ACM SIGPLAN/SIGBED Conference on Languages, 2017

Design Exploration for Multiple Level Cell Based Non-Volatile FPGAs.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

ESD-WSN: An Efficient SDN-Based Wireless Sensor Network Architecture for IoT Applications.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2017

Energy-Balanced and Depth-Controlled Routing Protocol for Underwater Wireless Sensor Networks.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2017

Shared last-level cache management for GPGPUs with hybrid main memory.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Maximizing Forward Progress with Cache-aware Backup for Self-powered Non-volatile Processors.
Proceedings of the 54th Annual Design Automation Conference, 2017

Cooperative DVFS for energy-efficient HEVC decoding on embedded CPU-GPU architecture.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
Energy Efficient Real-Time Task Scheduling for Embedded Systems with Hybrid Main Memory.
J. Signal Process. Syst., 2016

Data aggregation framework for energy-efficient WirelessHART networks.
J. Syst. Archit., 2016

Energy efficient task allocation for hybrid main memory architecture.
J. Syst. Archit., 2016

Multipath Load Balancing in SDN/OSPF Hybrid Network.
Proceedings of the Network and Parallel Computing, 2016

Clustering-Based Data Aggregation and Routing for Real-Time WirelessHART Communication.
Proceedings of the Challenges and Opportunity with Big Data, 2016

A flexible and scalable implementation of elliptic curve cryptography over GF(p) based on ASIP.
Proceedings of the 35th IEEE International Performance Computing and Communications Conference, 2016

A Novel Page Caching Policy for PCM and DRAM of Hybrid Memory Architecture.
Proceedings of the 13th International Conference on Embedded Software and Systems, 2016

An Energy Efficient and Secure Data Aggregation Method for WSNs Based on Dynamic Set.
Proceedings of the Geo-Spatial Knowledge and Intelligence, 2016

Energy Efficient Routing Algorithm Using Software Defining Network for WSNs via Unequal Clustering.
Proceedings of the Geo-Spatial Knowledge and Intelligence, 2016

Unified DRAM and NVM hybrid buffer cache architecture for reducing journaling overhead.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Write-back aware shared last-level cache management for hybrid main memory.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Temperature-Aware Data Allocation for Embedded Systems with Cache and Scratchpad Memory.
ACM Trans. Embed. Comput. Syst., 2015

Enhance Internet Access Ability for Ad Hoc Network with On-Demand Gateway Broadcast Strategy.
Int. J. Wirel. Inf. Networks, 2015

A Novel OpenFlow-Based DDoS Flooding Attack Detection and Response Mechanism in Software-Defined Networking.
Int. J. Inf. Secur. Priv., 2015

Gateway pheromone-based adaptive internet access scheme for mobile ad hoc networks.
Int. J. Ad Hoc Ubiquitous Comput., 2015

Dynamic malicious node detection with semi-supervised multivariate classification in cognitive wireless sensor networks.
Concurr. Comput. Pract. Exp., 2015

An Entropy-Based Distributed DDoS Detection Mechanism in Software-Defined Networking.
Proceedings of the 2015 IEEE TrustCom/BigDataSE/ISPA, 2015

Hybrid scratchpad and cache memory management for energy-efficient parallel HEVC encoding.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Reducing Journaling Overhead with Hybrid Buffer Cache.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2015

AIMR: An Adaptive Page Management Policy for Hybrid Memory Architecture with NVM and DRAM.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

A Novel Memory Block Management Scheme for PCM Using WOM-Code.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Superframe Scheduling for Data Aggregation in WirelessHART Networks.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Binarization-Based Human Detection with Hardware Reconfigurability.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

SLA-Aware Energy-Efficient Scheduling Scheme for Hadoop YARN.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Minimizing Update Bits of NVM-Based Main Memory Using Bit Flipping and Cyclic Shifting.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Managing hybrid on-chip scratchpad and cache memories for multi-tasking embedded systems.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

A three-stage-write scheme with flip-bit for PCM main memory.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
A piecewise geometry method for optimizing the motion planning of data mule in tele-health wireless sensor networks.
Wirel. Networks, 2014

Loop scheduling with memory access reduction subject to register constraints for DSP applications.
Softw. Pract. Exp., 2014

Applying link stability estimation mechanism to multicast routing in MANETs.
J. Syst. Archit., 2014

A real-time flash translation layer via adaptive partial garbage collection.
Int. J. Embed. Syst., 2014

Energy-prediction scheduler for reconfigurable systems in energy-harvesting environment.
IET Wirel. Sens. Syst., 2014

Research of trust model based on fuzzy theory in mobile ad hoc networks.
IET Inf. Secur., 2014

High Performance FPGA Implementation of Elliptic Curve Cryptography over Binary Fields.
Proceedings of the 13th IEEE International Conference on Trust, 2014

A High-Performance Distributed Certificate Revocation Scheme for Mobile Ad Hoc Networks.
Proceedings of the 13th IEEE International Conference on Trust, 2014

A Cloud Trust Authority Framework for Mobile Enterprise Information System.
Proceedings of the 8th IEEE International Symposium on Service Oriented System Engineering, 2014

On-demand gateway broadcast scheme for connecting mobile ad hoc networks to the Internet.
Proceedings of the International Conference on Smart Computing, 2014

Reliable and Energy Efficient Routing Algorithm for WirelessHART.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2014

An Improved Energy-Efficient Scheduling for Precedence Constrained Tasks in Multiprocessor Clusters.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2014

Fast and Accurate Code Placement of Embedded Software for Hybrid On-Chip Memory Architecture.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

SRFTL: An Adaptive Superblock-Based Real-Time Flash Translation Layer for NAND Flash Memory.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

Double Circulation Wear Leveling for PCM-Based Embedded Systems.
Proceedings of the Advanced Computer Architecture - 10th Annual Conference, 2014

2013
Low-Cost Ultrasonic Distance Sensor Arrays with Networked Error Correction.
Sensors, 2013

Network Topic Detection Model Based on Text Reconstructions.
Informatica (Slovenia), 2013

Impact of trust model on on-demand multi-path routing in mobile ad hoc networks.
Comput. Commun., 2013

Trust prediction and trust-based source routing in mobile ad hoc networks.
Ad Hoc Networks, 2013

Context-Aware Routing Algorithm for WSNs Based on Unequal Clustering.
Proceedings of the 12th IEEE International Conference on Trust, 2013

Dependency-Based Energy-Efficient Scheduling for Homogeneous Multi-core Clusters.
Proceedings of the 12th IEEE International Conference on Trust, 2013

TimSim: A Timestep-Based Wireless Ad-Hoc Network Simulator.
Proceedings of the 12th IEEE International Conference on Trust, 2013

Light-Weight Trust-Based On-Demand Multipath Routing Protocol for Mobile Ad Hoc Networks.
Proceedings of the 12th IEEE International Conference on Trust, 2013

Thermal-Aware On-Chip Memory Architecture Exploration.
Proceedings of the 12th IEEE International Conference on Trust, 2013

A Convex Hull Based Optimization to Reduce the Data Delivery Latency of the Mobile Elements in Wireless Sensor Networks.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

Data Allocation for Embedded Systems with Hybrid On-Chip Scratchpad and Caches.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

Slack-Time-Aware Energy Efficient Scheduling for Multiprocessor SoCs.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

Binarization based implementation for real-time human detection.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

Binarization-Based Human Detection for Compact FPGA Implementation.
Proceedings of the Advanced Parallel Processing Technologies, 2013

2012
A Malicious Node Detection Algorithm Based on Principle of Maximum Entropy in WSNs.
J. Networks, 2012

Hardware reconfigurable wireless sensor network node with power and area efficiency.
IET Wirel. Sens. Syst., 2012

Node trust evaluation in mobile ad hoc networks based on multi-dimensional fuzzy and Markov SCGM(1, 1) model.
Comput. Commun., 2012

Link Stability Evaluation and Stability Based Multicast Routing Protocol in Mobile Ad Hoc Networks.
Proceedings of the 11th IEEE International Conference on Trust, 2012

Prediction-Based Algorithm for Event Detection in Wireless Sensor Networks.
Proceedings of the 11th IEEE International Conference on Trust, 2012

The Research and Application of a Specific Instruction Processor for SMS4.
Proceedings of the 11th IEEE International Conference on Trust, 2012

A Multivariate Classification Algorithm for Malicious Node Detection in Large-Scale WSNs.
Proceedings of the 11th IEEE International Conference on Trust, 2012

Energy Efficient Routing Algorithm for WSNs via Unequal Clustering.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

ASIP-based Design and Implementation of RSA for Embedded Systems.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

A Novel Partial Dynamic Reconfiguration Image Sensor Node for Wireless Multimedia Sensor Networks.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

2011
Trust management model for mobile ad hoc network based on analytic hierarchy process and fuzzy theory.
IET Wirel. Sens. Syst., 2011

Verification-Based Multi-backup Firmware Architecture, an Assurance of Trusted Boot Process for the Embedded Systems.
Proceedings of the IEEE 10th International Conference on Trust, 2011

Multicast Trusted Routing with QoS Multi-constraints in Wireless Ad Hoc Networks.
Proceedings of the IEEE 10th International Conference on Trust, 2011

A Subjective Trust Management Model with Multiple Decision Factors for MANET Based on AHP and Fuzzy Logic Rules.
Proceedings of the 2011 IEEE/ACM International Conference on Green Computing and Communications (GreenCom), 2011

Improved Car-Following Model for Traffic Flow and Its Numerical Simulation on Highway with Gradients.
Proceedings of the Advances in Computer Science, Environment, Ecoinformatics, and Education, 2011

2010
Trust-based on-demand multipath routing in mobile ad hoc networks.
IET Inf. Secur., 2010

A trust-based multipath routing framework for Mobile Ad hoc NETworks.
Proceedings of the Seventh International Conference on Fuzzy Systems and Knowledge Discovery, 2010

Node Trust Assessment in Mobile Ad Hoc Networks Based on Multi-dimensional Fuzzy Decision Making.
Proceedings of the IEEE/IFIP 8th International Conference on Embedded and Ubiquitous Computing, 2010

2009
Combining Coarse-Grained Software Pipelining with DVS for Scheduling Real-Time Periodic Dependent Tasks on Multi-Core Embedded Systems.
J. Signal Process. Syst., 2009

Trust Evaluation and Dynamic Routing Decision Based on Fuzzy Theory for MANETs.
J. Softw., 2009

Nodes Deployment Mechanism Based on Energy Efficiency in Wireless Sensor Networks.
Int. J. Distributed Sens. Networks, 2009

QoS-Aware Scheduling for Mixed Real-Time Queries over Data Streams.
Proceedings of the 15th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2009

The Improved Scheme of Prolong-Lifetime in Wireless Sensor Networks.
Proceedings of the International Conference on Embedded Software and Systems, 2009

Earliest Deadline Scheduling for Continuous Queries over Data Streams.
Proceedings of the International Conference on Embedded Software and Systems, 2009

Make a Strategic Decision Using Markov for Dynamic Checkpoint Interval.
Proceedings of the Ninth IEEE International Conference on Computer and Information Technology, 2009

Rule-Based Publish-Subscribe Mechanism for Real-time Applications.
Proceedings of the 8th IEEE/ACIS International Conference on Computer and Information Science, 2009

2008
Optimized Address Assignment With Array and Loop Transformations for Minimizing Schedule Length.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

Analysis of Lifetime of Large Wireless Sensor Networks Based on Multiple Battery Levels.
Int. J. Commun. Netw. Syst. Sci., 2008

Practical Training in the Embedded System Education: A New Way to Narrow the Gap with Industry.
Proceedings of the 9th International Conference for Young Computer Scientists, 2008

A Self-Organizing Map Algorithm for the Traveling Salesman Problem.
Proceedings of the Fourth International Conference on Natural Computation, 2008

Depth-Expurgation Based Dynamic Trust Evaluation Algorithm for Ad Hoc Networks.
Proceedings of the International Conference on Embedded Software and Systems, 2008

An Entropy-based Trust Modeling and Evaluation for Wireless Sensor Networks.
Proceedings of the International Conference on Embedded Software and Systems, 2008

Address assignment sensitive variable partitioning and scheduling for DSPS with multiple memory banks.
Proceedings of the IEEE International Conference on Acoustics, 2008

A Fuzzy Algorithm for Parallelizability Evaluation and Load Balance on the Multi-core Processor.
Proceedings of the 2008 IEEE International Conference on Granular Computing, 2008

2007
Voltage Assignment with Guaranteed Probability Satisfying Timing Constraint for Real-time Multiproceesor DSP.
J. VLSI Signal Process., 2007

2005
An Embedded Gateway Based on Real-Time Database.
Proceedings of the Embedded and Ubiquitous Computing - EUC 2005 Workshops, 2005

2004
OPC-based Architecture of Embedded Web Server.
Proceedings of the Embedded Software and Systems, First International Conference, 2004


  Loading...