Yatin A. Manerkar

Orcid: 0000-0002-6954-2292

According to our database1, Yatin A. Manerkar authored at least 17 papers between 2015 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Lifting Micro-Update Models from RTL for Formal Security Analysis.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024

2023
Towards Building Verifiable CPS using Lingua Franca.
ACM Trans. Embed. Comput. Syst., October, 2023

Kobold: Simplified Cache Coherence for Cache-Attached Accelerators.
IEEE Comput. Archit. Lett., 2023

Modelling and Verification of Security-Oriented Resource Partitioning Schemes.
Proceedings of the Formal Methods in Computer-Aided Design, 2023

PipeSynth: Automated Synthesis of Microarchitectural Axioms for Memory Consistency.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

2022
MOESI-prime: preventing coherence-induced hammering in commodity workloads.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

Automated Conversion of Axiomatic to Operational Models: Theory and Practice.
Proceedings of the 22nd Formal Methods in Computer-Aided Design, 2022

UCLID5: Multi-modal Formal Modeling, Verification, and Synthesis.
Proceedings of the Computer Aided Verification - 34th International Conference, 2022

2020
RealityCheck: Bringing Modularity, Hierarchy, and Abstraction to Automated Microarchitectural Memory Consistency Verification.
CoRR, 2020

2018
Full-Stack Memory Model Verification with TriCheck.
IEEE Micro, 2018

PipeProof: Automated Memory Consistency Proofs for Microarchitectural Specifications.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

ILA-MCM: Integrating Memory Consistency Models with Instruction-Level Abstractions for Heterogeneous System-on-Chip Verification.
Proceedings of the 2018 Formal Methods in Computer Aided Design, 2018

2017
RTLcheck: verifying the memory consistency of RTL designs.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

TriCheck: Memory Model Verification at the Trisection of Software, Hardware, and ISA.
Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, 2017

2016
Exploring the Trisection of Software, Hardware, and ISA in Memory Model Design.
CoRR, 2016

Counterexamples and Proof Loophole for the C/C++ to POWER and ARMv7 Trailing-Sync Compiler Mappings.
CoRR, 2016

2015
CCICheck: using µhb graphs to verify the coherence-consistency interface.
Proceedings of the 48th International Symposium on Microarchitecture, 2015


  Loading...