Baris Kasikci

Orcid: 0000-0001-6122-8998

According to our database1, Baris Kasikci authored at least 56 papers between 2012 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Fiddler: CPU-GPU Orchestration for Fast Inference of Mixture-of-Experts Models.
CoRR, 2024

2023
CrossTalk: Making Low-Latency Fault Tolerance Cheap by Exploiting Redundant Networks.
ACM Trans. Embed. Comput. Syst., October, 2023

Online Code Layout Optimizations via OCOLOS.
IEEE Micro, 2023

Atom: Low-bit Quantization for Efficient and Accurate LLM Serving.
CoRR, 2023

PCSPOOF: Compromising the Safety of Time-Triggered Ethernet.
Proceedings of the 44th IEEE Symposium on Security and Privacy, 2023

Siloz: Leveraging DRAM Isolation Domains to Prevent Inter-VM Rowhammer.
Proceedings of the 29th Symposium on Operating Systems Principles, 2023

Vidi: Record Replay for Reconfigurable Hardware.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

2022
One Profile Fits All: Profile-Guided Linux Kernel Optimizations for Data Center Applications.
ACM SIGOPS Oper. Syst. Rev., 2022

Impact of microarchitectural state reuse on serverless functions.
Proceedings of the Eighth International Workshop on Serverless Computing, 2022

Sift: Using Refinement-guided Automation to Verify Complex Distributed Systems.
Proceedings of the 2022 USENIX Annual Technical Conference, 2022

Debugging the OmniTable Way.
Proceedings of the 16th USENIX Symposium on Operating Systems Design and Implementation, 2022

OCOLOS: Online COde Layout OptimizationS.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

Whisper: Profile-Guided Branch Misprediction Elimination for Data Center Applications.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

Thermometer: profile-guided btb replacement for data center applications.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

MOESI-prime: preventing coherence-induced hammering in commodity workloads.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

APT-GET: profile-guided <i>timely</i> software prefetching.
Proceedings of the EuroSys '22: Seventeenth European Conference on Computer Systems, Rennes, France, April 5, 2022

Debugging in the brave new world of reconfigurable hardware.
Proceedings of the ASPLOS '22: 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Lausanne, Switzerland, 28 February 2022, 2022

2021
Software-driven Security Attacks: From Vulnerability Sources to Durable Hardware Defenses.
ACM J. Emerg. Technol. Comput. Syst., 2021

DOLMA: Securing Speculation with the Principle of Transient Non-Observability.
Proceedings of the 30th USENIX Security Symposium, 2021

IGOR: Accelerating Byzantine Fault Tolerance for Real-Time Systems with Eager Execution.
Proceedings of the 27th IEEE Real-Time and Embedded Technology and Applications Symposium, 2021

Execution reconstruction: harnessing failure reoccurrences for failure reproduction.
Proceedings of the PLDI '21: 42nd ACM SIGPLAN International Conference on Programming Language Design and Implementation, 2021

DMon: Efficient Detection and Correction of Data Locality Problems Using Selective Profiling.
Proceedings of the 15th USENIX Symposium on Operating Systems Design and Implementation, 2021

PDede: Partitioned, Deduplicated, Delta Branch Target Buffer.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

Twig: Profile-Guided BTB Prefetching for Data Center Applications.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

Ripple: Profile-Guided Instruction Cache Replacement for Data Center Applications.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

Stop! Hammer time: rethinking our approach to rowhammer mitigations.
Proceedings of the HotOS '21: Workshop on Hot Topics in Operating Systems, 2021

Morpheus II: A RISC-V Security Extension for Protecting Vulnerable Software and Hardware.
Proceedings of the IEEE Hot Chips 33 Symposium, 2021

Morpheus II: A RISC-V Security Extension for Protecting Vulnerable Software and Hardware.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2021

Rethinking File Mapping for Persistent Memory.
Proceedings of the 19th USENIX Conference on File and Storage Technologies, 2021

Hippocrates: healing persistent memory bugs without doing any harm.
Proceedings of the ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021

2020
Optimal and Error-Free Multi-Valued Byzantine Consensus Through Parallel Execution.
IACR Cryptol. ePrint Arch., 2020

AGAMOTTO: How Persistent is your Persistent Memory Application?
Proceedings of the 14th USENIX Symposium on Operating Systems Design and Implementation, 2020

I-SPY: Context-Driven Conditional Instruction Prefetching with Coalescing.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

CPU Microarchitectural Performance Characterization of Cloud Video Transcoding.
Proceedings of the IEEE International Symposium on Workload Characterization, 2020

A Hypervisor for Shared-Memory FPGA Platforms.
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020

2019
Breaking Virtual Memory Protection and the SGX Ecosystem with Foreshadow.
IEEE Micro, 2019

I4: incremental inference of inductive invariants for verification of distributed protocols.
Proceedings of the 27th ACM Symposium on Operating Systems Principles, 2019

Huron: hybrid false sharing detection and repair.
Proceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation, 2019

NDA: Preventing Speculative Execution Attacks at Their Source.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

Towards Automatic Inference of Inductive Invariants.
Proceedings of the Workshop on Hot Topics in Operating Systems, 2019

Morpheus: A Vulnerability-Tolerant Secure Architecture Based on Ensembles of Moving Target Defenses with Churn.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

2018
Foreshadow: Extracting the Keys to the Intel SGX Kingdom with Transient Out-of-Order Execution.
Proceedings of the 27th USENIX Security Symposium, 2018

Cntr: Lightweight OS Containers.
Proceedings of the 2018 USENIX Annual Technical Conference, 2018

REPT: Reverse Debugging of Failures in Deployed Software.
Proceedings of the 13th USENIX Symposium on Operating Systems Design and Implementation, 2018

Vulnerability-tolerant secure architectures.
Proceedings of the International Conference on Computer-Aided Design, 2018

SysTEX'18: 2018 Workshop on System Software for Trusted Execution.
Proceedings of the 2018 ACM SIGSAC Conference on Computer and Communications Security, 2018

2017
Lazy Diagnosis of In-Production Concurrency Bugs.
Proceedings of the 26th Symposium on Operating Systems Principles, 2017

2015
Techniques for Detection, Root Cause Diagnosis, and Classification of In-Production Concurrency Bugs.
PhD thesis, 2015

Automated Classification of Data Races Under Both Strong and Weak Memory Models.
ACM Trans. Program. Lang. Syst., 2015

Failure sketching: a technique for automated root cause diagnosis of in-production failures.
Proceedings of the 25th Symposium on Operating Systems Principles, 2015

Failure Sketches: A Better Way to Debug.
Proceedings of the 15th Workshop on Hot Topics in Operating Systems, 2015

2014
Efficient Tracing of Cold Code via Bias-Free Sampling.
Proceedings of the 2014 USENIX Annual Technical Conference, 2014

2013
RaceMob: crowdsourced data race detection.
Proceedings of the ACM SIGOPS 24th Symposium on Operating Systems Principles, 2013

Automated Debugging for Arbitrarily Long Executions.
Proceedings of the 14th Workshop on Hot Topics in Operating Systems, 2013

2012
CORD: A Collaborative Framework for Distributed Data Race Detection.
Proceedings of the Eighth Workshop on Hot Topics in System Dependability, HotDep 2012, 2012

Data races vs. data race bugs: telling the difference with portend.
Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, 2012


  Loading...