Nathan Beckmann

According to our database1, Nathan Beckmann authored at least 44 papers between 2009 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Baleen: ML Admission & Prefetching for Flash Caches.
Proceedings of the 22nd USENIX Conference on File and Storage Technologies, 2024

2023
Kobold: Simplified Cache Coherence for Cache-Attached Accelerators.
IEEE Comput. Archit. Lett., 2023

Affinity Alloc: Taming Not-So Near-Data Computing.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

Pipestitch: An energy-minimal dataflow architecture with lightweight threads.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

MANIC: A $19\mu\mathrm{W}$ @ 4MHz, 256 MOPS/mW, RISC-V microcontroller with embedded MRAM main memory and vector-dataflow co-processor in 22nm bulk finFET CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

2022
Kangaroo: Theory and Practice of Caching Billions of Tiny Objects on Flash.
ACM Trans. Storage, 2022

Spatial Locality and Granularity Change in Caching.
CoRR, 2022

Brief Announcement: Spatial Locality and Granularity Change in Caching.
Proceedings of the SPAA '22: 34th ACM Symposium on Parallelism in Algorithms and Architectures, Philadelphia, PA, USA, July 11, 2022

A programmable, energy-minimal dataflow compiler and architecture.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

täk¯: a polymorphic cache hierarchy for general-purpose optimization of data movement.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

2021
The Role of Edge Offload for Hardware - Accelerated Mobile Devices.
GetMobile Mob. Comput. Commun., 2021

Block-Granularity-Aware Caching.
Proceedings of the SPAA '21: 33rd ACM Symposium on Parallelism in Algorithms and Architectures, 2021

Kangaroo: Caching Billions of Tiny Objects on Flash.
Proceedings of the SOSP '21: ACM SIGOPS 28th Symposium on Operating Systems Principles, 2021

Snafu: An Ultra-Low-Power, Energy-Minimal CGRA-Generation Framework and Architecture.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

2020
The CacheLib Caching Engine: Design and Experiences at Scale.
Proceedings of the 14th USENIX Symposium on Operating Systems Design and Implementation, 2020

Jumanji: The Case for Dynamic NUCA in the Datacenter.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

TVARAK: Software-Managed Hardware Offload for Redundancy in Direct-Access NVM Storage.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020

Livia: Data-Centric Computing Throughout the Memory Hierarchy.
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020

Writeback-Aware Caching.
Proceedings of the 1st Symposium on Algorithmic Principles of Computer Systems, 2020

2019
Tvarak: Software-managed hardware offload for DAX NVM storage redundancy.
CoRR, 2019

Writeback-Aware Caching (Brief Announcement).
Proceedings of the 31st ACM on Symposium on Parallelism in Algorithms and Architectures, 2019

PHI: Architectural Support for Synchronization- and Bandwidth-Efficient Commutative Scatter Updates.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

MANIC: A Vector-Dataflow Architecture for Ultra-Low-Power Embedded Systems.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

Intelligence Beyond the Edge: Inference on Intermittent Embedded Systems.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

2018
Practical Bounds on Optimal Caching with Variable Object Sizes.
Proc. ACM Meas. Anal. Comput. Syst., 2018

LHD: Improving Cache Hit Rate by Maximizing Hit Density.
Proceedings of the 15th USENIX Symposium on Networked Systems Design and Implementation, 2018

Exploiting Locality in Graph Analytics through Hardware-Accelerated Traversal Scheduling.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

2017
Cache Calculus: Modeling Caches through Differential Equations.
IEEE Comput. Archit. Lett., 2017

Jenga: Software-Defined Cache Hierarchies.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017

Maximizing Cache Performance Under Uncertainty.
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017

Nexus: A New Approach to Replication in Distributed Shared Caches.
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017

POSTER: Improving Datacenter Efficiency Through Partitioning-Aware Scheduling.
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017

2016
Modeling cache performance beyond LRU.
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016

Whirlpool: Improving Dynamic Cache Management with Static Data Classification.
Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, 2016

2015
Design and analysis of spatially-partitioned shared caches.
PhD thesis, 2015

Rubik: fast analytical power management for latency-critical systems.
Proceedings of the 48th International Symposium on Microarchitecture, 2015

Scaling distributed cache hierarchies through computation and data co-scheduling.
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015

Talus: A simple way to remove cliffs in cache performance.
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015

2013
Jigsaw: Scalable software-defined caches.
Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, 2013

2012
The case for elastic operating system services in fos.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2010
ATAC: Improving performance and programmability with on-chip optical networks.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Graphite: A distributed parallel simulator for multicores.
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010

An operating system for multicore and clouds: mechanisms and implementation.
Proceedings of the 1st ACM Symposium on Cloud Computing, 2010

2009
Hardware-Based Public-Key Cryptography with Public Physically Unclonable Functions.
Proceedings of the Information Hiding, 11th International Workshop, 2009


  Loading...