Zhuo Feng

Orcid: 0000-0002-7311-5576

According to our database1, Zhuo Feng authored at least 84 papers between 2006 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
diGRASS: Directed Graph Spectral Sparsification via Spectrum-Preserving Symmetrization.
ACM Trans. Knowl. Discov. Data, May, 2024

Researchy Questions: A Dataset of Multi-Perspective, Decompositional Questions for LLM Web Agents.
CoRR, 2024

inGRASS: Incremental Graph Spectral Sparsification via Low-Resistance-Diameter Decomposition.
CoRR, 2024

SAGMAN: Stability Analysis of Graph Neural Networks on the Manifolds.
CoRR, 2024

A Topology-aware Graph Coarsening Framework for Continual Graph Learning.
CoRR, 2024

2023
Contractual flexibility, firm effort, and subsidy design: A comparison of PPP project contracts.
Eur. J. Oper. Res., May, 2023

SF-SGL: Solver-Free Spectral Graph Learning From Linear Measurements.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2023

A Multilevel Spectral Framework for Scalable Vectorless Power/Thermal Integrity Verification.
ACM Trans. Design Autom. Electr. Syst., January, 2023

2022
feGRASS: Fast and Effective Graph Spectral Sparsification for Scalable Power Grid Analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Mitigating poverty through solar panels adoption in developing economies.
Decis. Sci., 2022

Scalable Graph Topology Learning via Spectral Densification.
Proceedings of the WSDM '22: The Fifteenth ACM International Conference on Web Search and Data Mining, Virtual Event / Tempe, AZ, USA, February 21, 2022

GARNET: Reduced-Rank Topology Learning for Robust and Scalable Graph Neural Networks.
Proceedings of the Learning on Graphs Conference, 2022

HyperEF: Spectral Hypergraph Coarsening by Effective-Resistance Clustering.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Towards Scalable Spectral Clustering via Spectrum-Preserving Sparsification.
Proceedings of the 33rd British Machine Vision Conference 2022, 2022

2021
Towards Scalable Spectral Embedding and Data Visualization via Spectral Coarsening.
Proceedings of the WSDM '21, 2021

SPADE: A Spectral Method for Black-Box Adversarial Robustness Evaluation.
Proceedings of the 38th International Conference on Machine Learning, 2021

HyperSF: Spectral Hypergraph Coarsening via Flow-based Local Clustering.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

SGL: Spectral Graph Learning from Measurements.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
GRASS: Graph Spectral Sparsification Leveraging Scalable Spectral Perturbation Analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Spectrum-preserving sparsification for visualization of big graphs.
Comput. Graph., 2020

GraphZoom: A Multi-level Spectral Approach for Accurate and Scalable Graph Embedding.
Proceedings of the 8th International Conference on Learning Representations, 2020

SF-GRASS: Solver-Free Graph Spectral Sparsification.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

A Spectral Approach to Scalable Vectorless Thermal Integrity Verification.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
GRASPEL: Graph Spectral Learning at Scale.
CoRR, 2019

GRASS: Spectral Sparsification Leveraging Scalable Spectral Perturbation Analysis.
CoRR, 2019

Towards Scalable Spectral Sparsification of Directed Graphs.
Proceedings of the 15th IEEE International Conference on Embedded Software and Systems, 2019

Effective-Resistance Preserving Spectral Reduction of Graphs.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Social Provenance.
Proceedings of the Encyclopedia of Social Network Analysis and Mining, 2nd Edition, 2018

Nearly-Linear Time Spectral Graph Reduction for Scalable Graph Partitioning and Data Visualization.
CoRR, 2018

Similarity-aware spectral sparsification by edge filtering.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
SAMG: Sparsified graph-theoretic algebraic multigrid for solving large symmetric diagonally dominant (SDD) matrices.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

A Spectral Graph Sparsification Approach to Scalable Vectorless Power Grid Integrity Verification.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
TinySPICE plus: scaling up statistical SPICE simulations on GPU leveraging shared-memory based sparse matrix solution techniques.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Spectral graph sparsification in nearly-linear time leveraging efficient spectral perturbation analysis.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
A Performance-Guided Graph Sparsification Approach to Scalable and Robust SPICE-Accurate Integrated Circuit Simulations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

An Adaptive Graph Sparsification Approach to Scalable Harmonic Balance Analysis of Strongly Nonlinear Post-Layout RF Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Transient-simulation guided graph sparsification approach to scalable harmonic balance (HB) analysis of post-layout RF circuits leveraging heterogeneous CPU-GPU computing systems.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Social Provenance.
Encyclopedia of Social Network Analysis and Mining, 2014

Fast RC Reduction of Flip-Chip Power Grids Using Geometric Templates.
IEEE Trans. Very Large Scale Integr. Syst., 2014

A multi-objective optimization approach for invasive species control.
J. Oper. Res. Soc., 2014

An efficient spectral graph sparsification approach to scalable reduction of large flip-chip power grids.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

2013
Provenance Data in Social Media
Synthesis Lectures on Data Mining and Knowledge Discovery, Morgan & Claypool Publishers, ISBN: 978-3-031-01904-3, 2013

Fast Thermal Analysis on GPU for 3D ICs With Integrated Microchannel Cooling.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Scalable Multilevel Vectorless Power Grid Voltage Integrity Verification.
IEEE Trans. Very Large Scale Integr. Syst., 2013

A tool for collecting provenance data in social media.
Proceedings of the 19th ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, 2013

An efficient graph sparsification approach to scalable harmonic balance (HB) analysis of strongly nonlinear RF circuits.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Large-scale flip-chip power grid reduction with geometric templates.
Proceedings of the Design, Automation and Test in Europe, 2013

TinySPICE: a parallel SPICE simulator on GPU for massively repeated small circuit simulations.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Scalable vectorless power grid current integrity verification.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Seeking provenance of information using social media.
Proceedings of the 22nd ACM International Conference on Information and Knowledge Management, 2013

Recovering information recipients in social media via provenance.
Proceedings of the Advances in Social Networks Analysis and Mining 2013, 2013

2012
A Prototype of the Next-Generation Journal System for ITS: Academic Social Networking and Media Based on Web 3.0.
IEEE Trans. Intell. Transp. Syst., 2012

GPSCP: A general-purpose support-circuit preconditioning approach to large-scale SPICE-accurate nonlinear circuit simulations.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

Towards efficient SPICE-accurate nonlinear circuit simulation with on-the-fly support-circuit preconditioners.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Parallel On-Chip Power Distribution Network Analysis on Multi-Core-Multi-GPU Platforms.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Locality-Driven Parallel Static Analysis for Power Delivery Networks.
ACM Trans. Design Autom. Electr. Syst., 2011

Hierarchical Cross-Entropy Optimization for Fast On-Chip Decap Budgeting.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Robust Parallel Preconditioned Power Grid Simulation on GPU With Adaptive Runtime Performance Modeling and Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

A dynamic model of controlling invasive species.
Comput. Math. Appl., 2011

Efficient checking of power delivery integrity for power gating.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

Power grid analysis with hierarchical support graphs.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Fast static analysis of power grids: Algorithms and implementations.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Fast multipole method on GPU: tackling 3-D capacitance extraction on massively parallel SIMD platforms.
Proceedings of the 48th Design Automation Conference, 2011

2010
Combinatorial Algorithms for Fast Clock Mesh Optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2010

25 Years of Collaborations in IEEE Intelligent Systems.
IEEE Intell. Syst., 2010

A Bibliographic Analysis of IEEE Intelligent Systems Publications.
IEEE Intell. Syst., 2010

A Study of the Human Flesh Search Engine: Crowd-Powered Expansion of Online Knowledge.
Computer, 2010

Parallel hierarchical cross entropy optimization for on-chip decap budgeting.
Proceedings of the 47th Design Automation Conference, 2010

Tradeoff analysis and optimization of power delivery networks with on-chip voltage regulation.
Proceedings of the 47th Design Automation Conference, 2010

Parallel multigrid preconditioning on graphics processing units (GPUs) for robust power grid analysis.
Proceedings of the 47th Design Automation Conference, 2010

2009
Performance-Oriented Parameter Dimension Reduction of VLSI Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2009

An On-the-Fly Parameter Dimension Reduction Approach to Fast Second-Order Statistical Static Timing Analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

SICE: design-dependent statistical interconnect corner extraction under inter/intra-die variations.
IET Circuits Devices Syst., 2009

Parallel partitioning based on-chip power distribution network analysis using locality acceleration.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

2008
Statistical Static Timing Analysis Considering Process Variation Model Uncertainty.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Multigrid on GPU: tackling power grid analysis on parallel SIMT platforms.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

2007
Characterizing Multistage Nonlinear Drivers and Variability for Accurate Timing and Noise Analysis.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Reducing the Complexity of VLSI Performance Variation Modeling Via Parameter Dimension Reduction.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

A methodology for timing model characterization for statistical static timing analysis.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Efficient VCO phase macromodel generation considering statistical parametric variations.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

A Framework for Accounting for Process Model Uncertainty in Statistical Static Timing Analysis.
Proceedings of the 44th Design Automation Conference, 2007

Fast Second-Order Statistical Static Timing Analysis Using Parameter Dimension Reduction.
Proceedings of the 44th Design Automation Conference, 2007

2006
Efficient Model Update for General Link-Insertion Networks.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

Performance-oriented statistical parameter reduction of parameterized systems via reduced rank regression.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006


  Loading...