Zhiyu Zeng

Orcid: 0000-0003-0002-876X

According to our database1, Zhiyu Zeng authored at least 15 papers between 2009 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
The Impact of Social Nudges on User-Generated Content for Social Network Platforms.
Manag. Sci., September, 2023

DeepOHeat: Operator Learning-based Ultra-fast Thermal Simulation in 3D-IC Design.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
MMISeg: A Semi-supervised Segmentation Method Based on Mixup and Mutual Information for Cardiac MRI Segmentation.
Proceedings of the PRICAI 2022: Trends in Artificial Intelligence, 2022

2019
Machine-Learning-Driven Matrix Ordering for Power Grid Analysis.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2013
IC power delivery: Voltage regulation and conversion, system-level cooptimization and technology implications.
ACM Trans. Design Autom. Electr. Syst., 2013

2012
Design and analysis of IC power delivery with on-chip voltage regulation.
Proceedings of the IEEE International Conference on IC Design & Technology, 2012

2011
Parallel On-Chip Power Distribution Network Analysis on Multi-Core-Multi-GPU Platforms.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Locality-Driven Parallel Static Analysis for Power Delivery Networks.
ACM Trans. Design Autom. Electr. Syst., 2011

Robust Parallel Preconditioned Power Grid Simulation on GPU With Adaptive Runtime Performance Modeling and Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Efficient checking of power delivery integrity for power gating.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

Fast static analysis of power grids: Algorithms and implementations.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

2010
Tradeoff analysis and optimization of power delivery networks with on-chip voltage regulation.
Proceedings of the 47th Design Automation Conference, 2010

Parallel multigrid preconditioning on graphics processing units (GPUs) for robust power grid analysis.
Proceedings of the 47th Design Automation Conference, 2010

2009
Locality-Driven Parallel Power Grid Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Parallel partitioning based on-chip power distribution network analysis using locality acceleration.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009


  Loading...