Xueqian Zhao

Orcid: 0000-0003-2862-4360

According to our database1, Xueqian Zhao authored at least 24 papers between 2010 and 2021.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
The information security transmission method for intelligent examination based on ZigBee communication.
Int. J. Inf. Commun. Technol., 2021

2018
xMAS-Based QoS Analysis Methodology.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Reliability Evaluation of Tidal Current Farm Integrated Generation Systems Considering Wake Effects.
IEEE Access, 2018

2017
A Tool for xMAS-Based Modeling and Analysis of Communication Fabrics in Simulink.
ACM Trans. Model. Comput. Simul., 2017

2015
Network on Chip : Performance Bound and Tightness.
PhD thesis, 2015

Heuristics-Aided Tightness Evaluation of Analytical Bounds in Networks-on-Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

A Performance-Guided Graph Sparsification Approach to Scalable and Robust SPICE-Accurate Integrated Circuit Simulations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

An Adaptive Graph Sparsification Approach to Scalable Harmonic Balance Analysis of Strongly Nonlinear Post-Layout RF Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Backlog Bound Analysis for Virtual-Channel Routers.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Validating Delay Bounds in Networks on Chip: Tightness and Pitfalls.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Achieving Memory Access Equalization Via Round-Trip Routing Latency Prediction in 3D Many-Core NoCs.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

2014
An efficient spectral graph sparsification approach to scalable reduction of large flip-chip power grids.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Empowering study of delay bound tightness with simulated annealing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Methods for fault tolerance in networks-on-chip.
ACM Comput. Surv., 2013

Per-flow delay bound analysis based on a formalized microarchitectural model.
Proceedings of the 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2013

An efficient graph sparsification approach to scalable harmonic balance (HB) analysis of strongly nonlinear RF circuits.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

TinySPICE: a parallel SPICE simulator on GPU for massively repeated small circuit simulations.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
GPSCP: A general-purpose support-circuit preconditioning approach to large-scale SPICE-accurate nonlinear circuit simulations.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

Towards efficient SPICE-accurate nonlinear circuit simulation with on-the-fly support-circuit preconditioners.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Hierarchical Cross-Entropy Optimization for Fast On-Chip Decap Budgeting.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Robust Parallel Preconditioned Power Grid Simulation on GPU With Adaptive Runtime Performance Modeling and Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Power grid analysis with hierarchical support graphs.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Fast multipole method on GPU: tackling 3-D capacitance extraction on massively parallel SIMD platforms.
Proceedings of the 48th Design Automation Conference, 2011

2010
Parallel hierarchical cross entropy optimization for on-chip decap budgeting.
Proceedings of the 47th Design Automation Conference, 2010


  Loading...