Cheng Zhuo

Orcid: 0000-0002-2610-7522

According to our database1, Cheng Zhuo authored at least 155 papers between 2006 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Lithography Hotspot Detection Based on Heterogeneous Federated Learning With Local Adaptation and Feature Selection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2024

Dynamic Supply Noise Aware Timing Analysis With JIT Machine Learning Integration.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2024

A Survey on Approximate Multiplier Designs for Energy Efficiency: From Algorithms to Circuits.
ACM Trans. Design Autom. Electr. Syst., January, 2024

Introduction to the Special Issue on Design for Testability and Reliability of Security-aware Hardware.
ACM Trans. Design Autom. Electr. Syst., January, 2024

On Model Order Reduction and Exponential Integrator for Transient Circuit Simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024

FeReX: A Reconfigurable Design of Multi-bit Ferroelectric Compute-in-Memory for Nearest Neighbor Search.
CoRR, 2024

2023
Fast Multi-Lane Detection Based on CNN Differentiation for ADAS/AD.
IEEE Trans. Veh. Technol., December, 2023

OTFPF: Optimal transport based feature pyramid fusion network for brain age estimation.
Inf. Fusion, December, 2023

FeFET-Based In-Memory Hyperdimensional Encoding Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Breaking the energy-efficiency barriers for smart sensing applications with "Sensing with Computing" architectures.
Sci. China Inf. Sci., October, 2023

Worst-case Power Integrity Prediction Using Convolutional Neural Network.
ACM Trans. Design Autom. Electr. Syst., July, 2023

BRoCoM: A Bayesian Framework for Robust Computing on Memristor Crossbar.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., July, 2023

An Ultracompact Single-Ferroelectric Field-Effect Transistor Binary and Multibit Associative Search Engine.
Adv. Intell. Syst., July, 2023

Partial Unbalanced Feature Transport for Cross-Modality Cardiac Image Segmentation.
IEEE Trans. Medical Imaging, June, 2023

Swarm Intelligence-Based Task Scheduling for Enhancing Security for IoT Devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., June, 2023

Design of Ultracompact Content Addressable Memory Exploiting 1T-1MTJ Cell.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2023

A Fast Method to Estimate Through-Bump Current for Power Delivery Verification.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2023

AIGAN: Attention-encoding Integrated Generative Adversarial Network for the reconstruction of low-dose CT and low-dose PET images.
Medical Image Anal., May, 2023

Ferroelectric Ternary Content Addressable Memories for Energy-Efficient Associative Search.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2023

LIAS: A Lightweight Incentive Authentication Scheme for Forensic Services in IoV.
IEEE Trans Autom. Sci. Eng., April, 2023

LMM: A Fixed-Point Linear Mapping Based Approximate Multiplier for IoT.
J. Comput. Sci. Technol., April, 2023

A DVFS Design and Simulation Framework Using Machine Learning Models.
IEEE Des. Test, February, 2023

GANDSE: Generative Adversarial Network-based Design Space Exploration for Neural Network Accelerator Design.
ACM Trans. Design Autom. Electr. Syst., 2023

A fine-grained mixed precision DNN accelerator using a two-stage big-little core RISC-V MCU.
Integr., 2023

Reconfigurable Frequency Multipliers Based on Complementary Ferroelectric Transistors.
CoRR, 2023

Low Power and Temperature-Resilient Compute-In-Memory Based on Subthreshold-FeFET.
CoRR, 2023

Class-Aware Pruning for Efficient Neural Networks.
CoRR, 2023

OplixNet: Towards Area-Efficient Optical Split-Complex Networks with Real-to-Complex Data Assignment and Knowledge Distillation.
CoRR, 2023

A Ferroelectric Compute-in-Memory Annealer for Combinatorial Optimization Problems.
CoRR, 2023

Expressivity Enhancement with Efficient Quadratic Neurons for Convolutional Neural Networks.
CoRR, 2023

Local-to-global spatial learning for whole-slide image representation and classification.
Comput. Medical Imaging Graph., 2023

SFCNEXT: A Simple Fully Convolutional Network for Effective Brain Age Estimation with Small Sample Size.
Proceedings of the 20th IEEE International Symposium on Biomedical Imaging, 2023

SEE-MCAM: Scalable Multi-Bit FeFET Content Addressable Memories for Energy Efficient Associative Search.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

BOOST: Block Minifloat-Based On-Device CNN Training Accelerator with Transfer Learning.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Invited Paper: Unleashing the Potential of Machine Learning: Harnessing the Dynamics of Supply Noise for Timing Sign-Off.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

HDNet: Hierarchical Dynamic Network for Gait Recognition using Millimeter-wave radar.
Proceedings of the IEEE International Conference on Acoustics, 2023

SteppingNet: A Stepping Neural Network with Incremental Accuracy Enhancement.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Approximate Floating-Point FFT Design with Wide Precision-Range and High Energy Efficiency.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Magnetic Core TSV-Inductor Design and Optimization for On-chip DC-DC Converter.
ACM Trans. Design Autom. Electr. Syst., 2022

Senputing: An Ultra-Low-Power Always-On Vision Perception Chip Featuring the Deep Fusion of Sensing and Computing.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

VirtualSync+: Timing Optimization With Virtual Synchronization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Improving Fault Tolerance for Reliable DNN Using Boundary-Aware Activation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

GoodFloorplan: Graph Convolutional Network and Reinforcement Learning-Based Floorplanning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

PAM: A Piecewise-Linearly-Approximated Floating-Point Multiplier With Unbiasedness and Configurability.
IEEE Trans. Computers, 2022

DeU-Net 2.0: Enhanced deformable U-Net for 3D cardiac cine MRI segmentation.
Medical Image Anal., 2022

ANT-UNet: Accurate and Noise-Tolerant Segmentation for Pathology Image Processing.
ACM J. Emerg. Technol. Comput. Syst., 2022

Computing-In-Memory Using Ferroelectrics: From Single- to Multi-Input Logic.
IEEE Des. Test, 2022

A Homogeneous Processing Fabric for Matrix-Vector Multiplication and Associative Search Using Ferroelectric Time-Domain Compute-in-Memory.
CoRR, 2022

OTFPF: Optimal Transport-Based Feature Pyramid Fusion Network for Brain Age Estimation with 3D Overlapped ConvNeXt.
CoRR, 2022

An Ultra-Compact Single FeFET Binary and Multi-Bit Associative Search Engine.
CoRR, 2022

RT-DNAS: Real-Time Constrained Differentiable Neural Architecture Search for 3D Cardiac Cine MRI Segmentation.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2022, 2022

Aging Aware Retraining for Memristor-based Neuromorphic Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Active Index: An Integrated Index to Reveal Disrupted Brain Network Organizations of Major Depressive Disorder Patients.
Proceedings of the 19th IEEE International Symposium on Biomedical Imaging, 2022

A Resource-Efficient Deep Learning Framework for Low-Dose Brain Pet Image Reconstruction and Analysis.
Proceedings of the 19th IEEE International Symposium on Biomedical Imaging, 2022

COSIME: FeFET Based Associative Memory for In-Memory Cosine Similarity Search.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

OPACT: Optimization of Approximate Compressor Tree for Approximate Multiplier.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

PIM-DH: ReRAM-based processing-in-memory architecture for deep hashing acceleration.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Worst-case dynamic power distribution network noise prediction using convolutional neural network.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Energy efficient data search design and optimization based on a compact ferroelectric FET content addressable memory.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Lithography Hotspot Detection via Heterogeneous Federated Learning with Local Adaptation.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

Application of Deep Learning in Back-End Simulation: Challenges and Opportunities.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

Approximate Logic Synthesis for FPGA by Decomposition.
Proceedings of the Approximate Computing, 2022

Approximate Multiplier Design for Energy Efficiency: From Circuit to Algorithm.
Proceedings of the Approximate Computing, 2022

2021
RCoNet: Deformable Mutual Information Maximization and High-Order Uncertainty-Aware Learning for Robust COVID-19 Detection.
IEEE Trans. Neural Networks Learn. Syst., 2021

A Reconfigurable Multiplier for Signed Multiplications with Asymmetric Bit-Widths.
ACM J. Emerg. Technol. Comput. Syst., 2021

Deep Random Forest with Ferroelectric Analog Content Addressable Memory.
CoRR, 2021

On the Reliability of In-Memory Computing: Impact of Temperature on Ferroelectric TCAM.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

Robust In-Memory Computing with Hyperdimensional Stochastic Representation.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2021

Regularization-Free Structural Pruning for GPU Inference Acceleration.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

Reliable Memristor-based Neuromorphic Design Using Variation- and Defect-Aware Training.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

ICCAD Tutorial Session Paper Ferroelectric FET Technology and Applications: From Devices to Systems.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Real-Time and Robust Hyperdimensional Classification.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

Energy-Aware Designs of Ferroelectric Ternary Content Addressable Memory.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Joint Sparsity with Mixed Granularity for Efficient GPU Implementation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

RegHD: Robust and Efficient Regression in Hyper-Dimensional Learning System.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Bayesian Inference Based Robust Computing on Memristor Crossbar.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Cross-Modality Generation of Amyloid PET from FDG PET for Alzheimer's Disease Diagnosis.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2021

Robustness of Neuromorphic Computing with RRAM-based Crossbars and Optical Neural Networks.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

A Physical-Aware Framework for Memory Network Design Space Exploration.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Cross-layer Design for Computing-in-Memory: From Devices, Circuits, to Architectures and Applications.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
Noise-Aware DVFS for Efficient Transitions on Battery-Powered IoT Devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Dynamic Frequency Scaling Aware Opportunistic Through-Silicon-Via Inductor Utilization in Resonant Clocking.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Eva-CiM: A System-Level Performance and Energy Evaluation Framework for Computing-in-Memory Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Energy-Efficient Real-Time UAV Object Detection on Embedded Platforms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Introduction to special issue of 2019 China Semiconductor Technology International Conference (CSTIC) Symposium on Design and Automation of Circuits and Systems.
Integr., 2020

FeCAM: A Universal Compact Digital and Analog Content Addressable Memory Using Ferroelectric.
CoRR, 2020

Early-Stage Planning of Switched-Capacitor Converters in a Heterogeneous Chip.
IEEE Access, 2020

Analog Content Addressable Memory using Ferroelectric: A Case Study of Search-in-Memory.
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020

An Efficient and Flexible Learning Framework for Dynamic Power and Thermal Co-Management.
Proceedings of the MLCAD '20: 2020 ACM/IEEE Workshop on Machine Learning for CAD, 2020

MS-NAS: Multi-scale Neural Architecture Search for Medical Image Segmentation.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2020, 2020

DeU-Net: Deformable U-Net for 3D Cardiac MRI Video Segmentation.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2020, 2020

A Convolutional Neural Network Accelerator Architecture with Fine-Granular Mixed Precision Configurability.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Cross-denoising Network against Corrupted Labels in Medical Image Segmentation with Domain Shift.
Proceedings of the Twenty-Ninth International Joint Conference on Artificial Intelligence, 2020

Countering Variations and Thermal Effects for Accurate Optical Neural Networks.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Optimally Approximated and Unbiased Floating-Point Multiplier with Runtime Configurability.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Modeling and Benchmarking Computing-in-Memory for Design Space Exploration.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Private Knowledge Transfer via Model Distillation with Generative Adversarial Networks.
Proceedings of the ECAI 2020 - 24th European Conference on Artificial Intelligence, 29 August-8 September 2020, Santiago de Compostela, Spain, August 29 - September 8, 2020, 2020

When Single Event Upset Meets Deep Neural Networks: Observations, Explorations, and Remedies.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

Nonvolatile and Energy-Efficient FeFET-Based Multiplier for Energy-Harvesting Devices.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

A Reconfigurable Approximate Multiplier for Quantized CNN Applications.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

Spatio-Temporal Deformable Convolution for Compressed Video Quality Enhancement.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020

2019
Single-Inductor-Multiple-Tier Regulation: TSV-Inductor-Based On-Chip Buck Converters for 3-D IC Power Delivery.
IEEE Trans. Very Large Scale Integr. Syst., 2019

From Layout to System: Early Stage Power Delivery and Architecture Co-Exploration.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

A Cross-Layer Framework for Temporal Power and Supply Noise Prediction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

System-level design consideration and optimization of through-silicon-via inductor.
Integr., 2019

Run-time demand estimation and modulation of on-chip decaps at system level for leakage power reduction in multicore chips.
Integr., 2019

Optimal design of a low-power, phase-switching modulator for implantable medical applications.
Integr., 2019

Eva-CiM: A System-Level Energy Evaluation Framework for Computing-in-Memory Architectures.
CoRR, 2019

Power Delivery Resonant Virus: Concept and Applications.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

The Impact of Emerging Technologies on Architectures and System-level Management: Invited Paper.
Proceedings of the International Conference on Computer-Aided Design, 2019

Optimizing the Energy Efficiency of Power Supply in Heterogeneous Multicore Chips with Integrated Switched-Capacitor Converters.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

ANT-UNet: Accurate and Noise-Tolerant Segmentation for Pathology Image Processing.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

An Efficient Compressive Convolutional Network for Unified Object Detection and Image Compression.
Proceedings of the Thirty-Third AAAI Conference on Artificial Intelligence, 2019

2018
Floating Random Walk-Based Capacitance Simulation Considering General Floating Metals.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

A Multi-Level-Optimization Framework for FPGA-Based Cellular Neural Network Implementation.
ACM J. Emerg. Technol. Comput. Syst., 2018

A physics-aware methodology for equivalent circuit model extraction of TSV-inductors.
Integr., 2018

Modeling and optimization of magnetic core TSV-inductor for on-chip DC-DC converter.
Proceedings of the International Conference on Computer-Aided Design, 2018

Noise-aware DVFS transition sequence optimization for battery-powered IoT devices.
Proceedings of the 55th Annual Design Automation Conference, 2018

A design framework for processing-in-memory accelerator.
Proceedings of the 20th System Level Interconnect Prediction Workshop, 2018

2017
A routing framework for technology migration with bump encroachment.
Integr., 2017

Accelerating chip design with machine learning: From pre-silicon to post-silicon.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

FPGA based cellular neural network optimization: from design space to system.
Proceedings of the Neuromorphic Computing Symposium, 2017

Edge segmentation: Empowering mobile telemedicine with compressed cellular neural networks.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

CN-SIM: A cycle-accurate full system power delivery noise simulator.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
A Compressive-sensing based Testing Vehicle for 3D TSV Pre-bond and Post-bond Testing Data.
Proceedings of the 2016 on International Symposium on Physical Design, 2016

A novel cross-layer framework for early-stage power delivery and architecture co-exploration.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Silicon-Validated Power Delivery Modeling and Analysis on a 32-nm DDR I/O Interface.
IEEE Trans. Very Large Scale Integr. Syst., 2015

On the Efficacy of Through-Silicon-Via Inductors.
IEEE Trans. Very Large Scale Integr. Syst., 2015

A Cross-Layer Approach for Early-Stage Power Grid Design and Optimization.
ACM J. Emerg. Technol. Comput. Syst., 2015

1-Bit Compressed Sensing Based Framework for Built-in Resonance Frequency Prediction Using On-Chip Noise Sensors.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

2014
Novel Through-Silicon-Via Inductor-Based On-Chip DC-DC Converter Designs in 3D ICs.
ACM J. Emerg. Technol. Comput. Syst., 2014

"Green" On-chip Inductors in Three-Dimensional Integrated Circuits.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

An efficient spectral graph sparsification approach to scalable reduction of large flip-chip power grids.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Opportunistic through-silicon-via inductor utilization in LC resonant clocks: concept and algorithms.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

A zonotoped macromodeling for reachability verification of eye-diagram in high-speed I/O links with jitter.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Early-Stage Power Grid Design: Extraction, Modeling and Optimization.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Through-silicon-via inductor: Is it real or just a fantasy?
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
A Statistical Framework for Post-Fabrication Oxide Breakdown Reliability Prediction and Management.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

An improved benchmark suite for the ISPD-2013 discrete cell sizing contest.
Proceedings of the International Symposium on Physical Design, 2013

2012
The ISPD-2012 discrete cell sizing contest and benchmark suite.
Proceedings of the International Symposium on Physical Design, 2012

A silicon-validated methodology for power delivery modeling and simulation.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

2011
Yield Enhancement through Pre- and Post-Silicon Adaptation.
PhD thesis, 2011

Process Variation and Temperature-Aware Full Chip Oxide Breakdown Reliability Analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Improving dual Vt technology by simultaneous gate sizing and mechanical stress optimization.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

2010
Active learning framework for post-silicon variation extraction and test cost reduction.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Process variation and temperature-aware reliability management.
Proceedings of the Design, Automation and Test in Europe, 2010

Design time body bias selection for parametric yield improvement.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Sensor-Driven Reliability and Wearout Management.
IEEE Des. Test Comput., 2009

Post-fabrication measurement-driven oxide breakdown reliability prediction and management.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

2008
Power Grid Analysis and Optimization Using Algebraic Multigrid.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Variation-aware gate sizing and clustering for post-silicon optimized circuits.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

A statistical approach for full-chip gate-oxide reliability analysis.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

2007
Modeling, optimization and control of rotary traveling-wave oscillator.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

2006
An Improved AMG-based Method for Fast Power Grid Analysis.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

Fast decap allocation based on algebraic multigrid.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006


  Loading...