Andreas Demosthenous

Orcid: 0000-0003-0623-963X

Affiliations:
  • University College London, UK


According to our database1, Andreas Demosthenous authored at least 239 papers between 1996 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Awards

IEEE Fellow

IEEE Fellow 2018, "For contributions to integrated circuits for active medical devices".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Borrowing Treasures from Neighbors: In-Context Learning for Multimodal Learning with Missing Modalities and Data Scarcity.
CoRR, 2024

HgbNet: predicting hemoglobin level/anemia degree from EHR data.
CoRR, 2024

2023
An 89.3% Current Efficiency, Sub 0.1% THD Current Driver for Electrical Impedance Tomography.
IEEE Trans. Circuits Syst. II Express Briefs, October, 2023

High Efficiency Power Management Unit for Implantable Optical-Electrical Stimulators.
IEEE Open J. Circuits Syst., 2023

DeepNav: Joint View Learning for Direct Optimal Path Perception in Cochlear Surgical Platform Navigation.
IEEE Access, 2023

Effects of Patient Recumbency Position on Neonatal Chest EIT.
IEEE Access, 2023

A Deep Neural Network-Based Spike Sorting With Improved Channel Selection and Artefact Removal.
IEEE Access, 2023

Low Power Analog Processing for Ultra-High-Speed Receivers With RF Correlation.
IEEE Access, 2023

Live Demonstration: Real Time Imaging With Electrical Impedance Tomography.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

A Four-Channel Analog Front-End ASIC for Wearable A-Mode Ultrasound Hand Kinematic Tracking Applications.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

Live Demonstration: A Bioimpedance-Based Robotic Hand Control Platform Using a Customised Neural Network.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

A Compact Neural Network for High Accuracy Bioimpedance-Based Hand Gesture Recognition.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

A High-Voltage, Implantable ASIC for Active Interfaces of the Vagus Nerve.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

Self-Powered Piezoelectric Biosensing Harvester for Intracardiac Monitoring.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

2022
Generation of Anatomically Inspired Human Airway Tree Using Electrical Impedance Tomography: A Method to Estimate Regional Lung Filling Characteristics.
IEEE Trans. Medical Imaging, 2022

An Implantable Phase Locked Loop MEMS-Based Readout System for Heart Transplantation.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

A Low-Power Recursive I/Q Signal Generator and Current Driver for Bioimpedance Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Locating Functionalized Gold Nanoparticles Using Electrical Impedance Tomography.
IEEE Trans. Biomed. Eng., 2022

A Fully Implantable Opto-Electro Closed-Loop Neural Interface for Motor Neuron Disease Studies.
IEEE Trans. Biomed. Circuits Syst., 2022

Insertion Guidance Based on Impedance Measurements of a Cochlear Electrode Array.
Frontiers Comput. Neurosci., 2022

A Compact CNN-Based Speech Enhancement With Adaptive Filter Design Using Gabor Function and Region-Aware Convolution.
IEEE Access, 2022

Design of a CMOS Analog Front-End for Wearable A-Mode Ultrasound Hand Gesture Recognition.
Proceedings of the 17th Conference on Ph.D Research in Microelectronics and Electronics, 2022

Towards a Fully Implantable Closed-Loop Opto-Electro Stimulation Interface for Motor Neuron Disease Treatment.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Ultra-Low-Power PPG Analog Signal Processing Circuit for Continuous Blood Pressure Estimation.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

A Low Power, Low THD Current Driver with Discrete Common-Mode Feedback for EIT Applications.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Moving Receiver Tracking in Wireless Power Transfer Systems.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

A Bidirectional ASIC for Active Microchannel Neural Interfaces.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Highly Efficient Smart 3-Coil Wireless Power Transfer System with Automatic Tracking.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

In-Body Energy Harvesting Power Management Interface for Post Heart Transplantation Monitoring.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Multimodal Diagnosis for Pulmonary Embolism from EHR Data and CT Images.
Proceedings of the 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2022

Efficient Approximation of Action Potentials with High-Order Shape Preservation in Unsupervised Spike Sorting.
Proceedings of the 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2022

High Frame Rate Electrical Impedance Tomography System for Monitoring of Regional Lung Ventilation.
Proceedings of the 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2022

Development of a Biosensor for fast point-of-care Blood Analysis of Troponin.
Proceedings of the 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2022

2021
A Goertzel Filter-Based System for Fast Simultaneous Multi-Frequency EIS.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Model Selection Based Algorithm in Neonatal Chest EIT.
IEEE Trans. Biomed. Eng., 2021

Integrated Circuits for Medical Ultrasound Applications: Imaging and Beyond.
IEEE Trans. Biomed. Circuits Syst., 2021

An Imaged Based Method for Universal Performance Evaluation of Electrical Impedance Tomography Systems.
IEEE Trans. Biomed. Circuits Syst., 2021

A Multi-Channel Stimulator With High-Resolution Time-to-Current Conversion for Vagal-Cardiac Neuromodulation.
IEEE Trans. Biomed. Circuits Syst., 2021

Electrical Impedance Tomography for Biomedical Applications: Circuits and Systems Review.
IEEE Open J. Circuits Syst., 2021

Deep Analysis of EIT Dataset to Classify Apnea and Non-Apnea Cases in Neonatal Patients.
IEEE Access, 2021

Towards More Efficient DNN-Based Speech Enhancement Using Quantized Correlation Mask.
IEEE Access, 2021

Design of a Power Management Circuit for an Opto-Electro Stimulator.
Proceedings of the 19th IEEE International New Circuits and Systems Conference, 2021

Evaluation of Commercial Connectors for Active Neural Implants.
Proceedings of the 10th International IEEE/EMBS Conference on Neural Engineering, 2021

A Computation Efficient Voice Activity Detector for Low Signal-to-Noise Ratio in Hearing Aids.
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

A DC Model for Organic Electrochemical Transistors and Analysis of Their Performance as Voltage Amplifiers.
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

RF Wireless Power Transfer for EIT Neonate Lung Function Monitoring.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

An Integrated Bidirectional Multi-Channel Opto-Electro Arbitrary Waveform Stimulator for Treating Motor Neurone Disease.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

An Active Microchannel Neural Interface with Artifact Reduction.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A Discrete Wavelet Transform-Based Voice Activity Detection and Noise Classification with Sub-Band Selection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A Power Efficient Time-to-Current Stimulator for Vagal-Cardiac Connection after Heart Transplantation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Dual Output Regulating Rectifier for an Implantable Neural Interface.
Proceedings of the 28th IEEE International Conference on Electronics, 2021

2020
1.2-V Energy-Efficient Wireless CMOS Potentiostat for Amperometric Measurements.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

Hand Gesture Recognition Using Three-Dimensional Electrical Impedance Tomography.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

Accurate, Very Low Computational Complexity Spike Sorting Using Unsupervised Matched Subspace Learning.
IEEE Trans. Biomed. Circuits Syst., 2020

Time Stamp - A Novel Time-to-Digital Demodulation Method for Bioimpedance Implant Applications.
IEEE Trans. Biomed. Circuits Syst., 2020

A Fast and Reliable Three-Dimensional Centerline Tracing: Application to Virtual Cochlear Implant Surgery.
IEEE Access, 2020

Adaptive Electrical Impedance Tomography Resolution Enhancement Using Statistically Quantized Projected Image Sub-Bands.
IEEE Access, 2020

Optimizing Speech Recognition Using a Computational Model of Human Hearing: Effect of Noise Type and Efferent Time Constants.
IEEE Access, 2020

Real Time Non-Invasive Hemodynamic Assessment of Ventricular Tachycardia.
IEEE Access, 2020

Live Demonstration: Performance Evaluation of Electrical Impedance Tomography Systems using a Color-Coded Full Reference SNR Method.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

1.2V Energy-Efficient Wireless CMOS Potentiostat for Amperometric Measurements.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Towards an Improved Model for 65-nm CMOS at Cryogenic Temperatures.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Monitoring Myocardial Edema Tissue with Electrical Impedance Spectroscopy.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Low Computational Sensing with Goertzel Filtering for Mobile Industrial IoT Devices.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Effect of Time Constant on Speech Enhancement in Hearing Aids Based on Auditory Neural Feedback.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Live Demonstration: A Wearable Multi-Sensory Platform for Closed-Loop Optical Stimulation Control in Treating Muscle Paralysis.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Towards a Universal Methodology for Performance Evaluation of Electrical Impedance Tomography Systems using Full Reference SNR.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

2019
Non-Invasive Detection of Mechanical Alternans Utilizing Photoplethysmography.
IEEE J. Biomed. Health Informatics, 2019

Short-Range Quality-Factor Modulation (SQuirM) for Low Power High Speed Inductive Data Transfer.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Outgoing Editorial.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A 122 fps, 1 MHz Bandwidth Multi-Frequency Wearable EIT Belt Featuring Novel Active Electrode Architecture for Neonatal Thorax Vital Sign Monitoring.
IEEE Trans. Biomed. Circuits Syst., 2019

Correction to "Practical Inductive Link Design for Biomedical Wireless Power Transfer: A Tutorial".
IEEE Trans. Biomed. Circuits Syst., 2019

An ASIC for Recording and Stimulation in Stacked Microchannel Neural Interfaces.
IEEE Trans. Biomed. Circuits Syst., 2019

Analog Integrated Current Drivers for Bioimpedance Applications: A Review.
Sensors, 2019

Towards a System for Tracking Drug Delivery Using Frequency Excited Gold Nanoparticles.
Sensors, 2019

A Power-Efficient Current Generator with Common Mode Signal Autozero Feedback for Bioimpedance Measurement Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Live Demonstration: A Wearable Torso Shape Detection Belt for Lung Respiration Monitoring.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

2018
A High Frame Rate Wearable EIT System Using Active Electrode ASICs for Lung Respiration and Heart Rate Monitoring.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A Low-Power, Wireless, Capacitive Sensing Frontend Based on a Self-Oscillating Inductive Link.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

CMOS Image Sensor for Lateral Flow Immunoassay Readers.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

A Non-Linear Feedback Current Driver With Automatic Phase Compensation for Bioimpedance Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

New Year Editorial.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

An Adaptive Neural Spike Processor With Embedded Active Learning for Improved Unsupervised Sorting Accuracy.
IEEE Trans. Biomed. Circuits Syst., 2018

A Human-Machine Interface Using Electrical Impedance Tomography for Hand Prosthesis Control.
IEEE Trans. Biomed. Circuits Syst., 2018

Practical Inductive Link Design for Biomedical Wireless Power Transfer: A Tutorial.
IEEE Trans. Biomed. Circuits Syst., 2018

A Multichannel High-Frequency Power-Isolated Neural Stimulator With Crosstalk Reduction.
IEEE Trans. Biomed. Circuits Syst., 2018

Complementary Detection for Hardware Efficient On-Site Monitoring of Parkinsonian Progress.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018

Towards a High Accuracy Wearable Hand Gesture Recognition System Using EIT.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Live Demonstration: A Wearable EIT System for Hand Prosthesis Motion Controls.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A Capacitance-to-Digits Readout Circuit for Integrated Humidity Sensors for Monitoring the In-Package Humidity of Ultra-Small Medical Implants.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

An Energy-Efficient 1.2V 4-Channel Wireless CMOS Potentiostat for Amperometric Biosensors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Intermittent Excitation of High-Q Resonators for Low-Power High-Speed Clock Generation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A MicroChannel Neural Interface ASIC.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Asymmetrical Sensing Configuration for Improved Sensitivity in Calorimetric High Flow Measurements in Constant Power Mode.
Proceedings of the 2018 IEEE SENSORS, New Delhi, India, October 28-31, 2018, 2018

Detecting Mechanical Alternans Utilizing Photoplethysmography.
Proceedings of the Computing in Cardiology, 2018

2017
5G Uniform Linear Arrays With Beamforming and Spatial Multiplexing at 28, 37, 64, and 71 GHz for Outdoor Urban Communication: A Two-Level Approach.
IEEE Trans. Veh. Technol., 2017

Update From the Editor-in-Chief.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

An Integrated Passive Phase-Shift Keying Modulator for Biomedical Implants With Power Telemetry Over a Single Inductive Link.
IEEE Trans. Biomed. Circuits Syst., 2017

Optimized Lateral Flow Immunoassay Reader for the Detection of Infectious Diseases in Developing Countries.
Sensors, 2017

Effect of nerve variations on the stimulus current level in a wearable neuromodulator for migraine: A modeling study.
Proceedings of the 8th International IEEE/EMBS Conference on Neural Engineering, 2017

Live demonstration: A wearable EIT system using active electrodes for monitoring respiration.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

A 32-by-32 CMOS microelectrode array for capacitive biosensing and impedance spectroscopy.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

A highly accurate spike sorting processor with reconfigurable embedded frames for unsupervised and adaptive analysis of neural signals.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

A CMOS current driver with built-in common-mode signal reduction capability for EIT.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

Single-pulse harmonic modulation for short range biomedical inductive data transfer.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Effect of model complexity on fiber activation estimates in a wearable neuromodulator for migraine.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

2016
Wideband Fully-Programmable Dual-Mode CMOS Analogue Front-End for Electrical Impedance Spectroscopy.
Sensors, 2016

Frequency Splitting Analysis and Compensation Method for Inductive Wireless Powering of Implantable Biosensors.
Sensors, 2016

Advances in Scalable Implantable Systems for Neurostimulation Using Networked ASICs.
IEEE Des. Test, 2016

Design of a CMOS active electrode IC for wearable electrical impedance tomography systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

An improved wideband CMOS current driver for bioimpedance applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Live demonstration: An implantable wireless multi-channel neural prosthesis for epidural stimulation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

An implantable wireless multi-channel neural prosthesis for epidural stimulation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Wireless paper-based biosensor reader for the detection of infectious diseases at the point of care.
Proceedings of the 2016 IEEE SENSORS, Orlando, FL, USA, October 30 - November 3, 2016, 2016

Dual-mode CMOS analog front-end (AFE) for electrical impedance spectroscopy (EIS) systems.
Proceedings of the 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2016

Design considerations and optimization of calorimetric flow sensor for respiratory monitoring.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

2015
Generalized Analysis of Random Common-Mode Rejection Performance of CMOS Current Feedback Instrumentation Amplifiers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

A Wideband Low-Distortion CMOS Current Driver for Tissue Impedance Analysis.
IEEE Trans. Circuits Syst. II Express Briefs, 2015

A Sinusoidal Current Driver With an Extended Frequency Range and Multifrequency Operation for Bioimpedance Applications.
IEEE Trans. Biomed. Circuits Syst., 2015

A Vestibular Prosthesis With Highly-Isolated Parallel Multichannel Stimulation.
IEEE Trans. Biomed. Circuits Syst., 2015

An Implantable Versatile Electrode-Driving ASIC for Chronic Epidural Stimulation in Rats.
IEEE Trans. Biomed. Circuits Syst., 2015

Q-enhancement with on-chip inductor optimization for reconfigurable Δ-Σ radio-frequency ADC.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

Power optimization of neural frontend interfaces.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

An integrated CMOS current driver using nonlinear feedback for bioimpedance applications.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Output stage of a dynamic current steering deep brain stimulator.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

Design of a wideband CMOS impedance spectroscopy ASIC analog front-end for multichannel biosensor interfaces.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

A feature design framework for hardware efficient neural spike sorting.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

Optimization of a wearable pudendal nerve stimulator using computational models.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

Efficiency optimization of class-D biomedical inductive wireless power transfer systems by means of frequency adjustment.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

Patient specific Parkinson's disease detection for adaptive deep brain stimulation.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

A figure of merit for neural electrical stimulation circuits.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

2014
High-Power CMOS Current Driver With Accurate Transconductance for Electrical Impedance Tomography.
IEEE Trans. Biomed. Circuits Syst., 2014

A CMOS Smart Temperature and Humidity Sensor with Combined Readout.
Sensors, 2014

2013
Analog-to-digital converters power dissipation limits of CBSC-based pipelined.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

Design of an implantable stimulator ASIC with self-adapting supply.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Dimensionality reduction using asynchronous sampling of first derivative features for real-time and computationally efficient neural spike sorting.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

CMOS analog power meter and delay line for automatic efficiency optimization in medical power transmitters.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

A dedicated electrode driving ASIC for epidural spinal cord stimulation in rats.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

Suitable compensation circuits for on-chip interference reduction in neural tripolar recordings.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

Output stage of a current-steering multipolar and multisite deep brain stimulator.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013

Optimization of neural stimulation in a device for treating urinary incontinence.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013

A 1-Wire® communication interface between a control hub and locally powered epidural stimulators.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013

A wideband CMOS current driver for bioimpedance applications with output DC regulation.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013

2012
A Compact Rail-to-Rail Class-AB CMOS Buffer With Slew-Rate Enhancement.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

A Tripolar Current-Steering Stimulator ASIC for Field Shaping in Deep Brain Stimulation.
IEEE Trans. Biomed. Circuits Syst., 2012

Active Books: The Design of an Implantable Stimulator That Minimizes Cable Count Using Integrated Circuits Very Close to Electrodes.
IEEE Trans. Biomed. Circuits Syst., 2012

Safety of multi-channel stimulation implants: a single blocking capacitor per channel is not sufficient after single-fault failure.
Medical Biol. Eng. Comput., 2012

CBSC-based pipelined analog-to-digital converters: Power dissipation bound analysis.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

Towards a closed-loop transmitter system with integrated class-D amplifier for coupling-insensitive powering of implants.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

Towards an optimized wearable neuromodulation device for urinary incontinence.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

A telemetry operated vestibular prosthesis.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

An implantable 3-D vestibular stimulator with neural recording.
Proceedings of the 38th European Solid-State Circuit conference, 2012

A fast passive phase shift keying modulator for inductively coupled implanted medical devices.
Proceedings of the 38th European Solid-State Circuit conference, 2012

2011
A CMOS Instrumentation Amplifier With 90-dB CMRR at 2-MHz Using Capacitive Neutralization: Analysis, Design Considerations, and Implementation.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011

A Stimulator ASIC Featuring Versatile Management for Vestibular Prostheses.
IEEE Trans. Biomed. Circuits Syst., 2011

An Integrated Stimulator With DC-Isolation and Fine Current Control for Implanted Nerve Tripoles.
IEEE J. Solid State Circuits, 2011

A multi-frequency bioimpedance measurement ASIC for electrical impedance tomography.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

A stimulator ASIC with capability of neural recording during inter-phase delay.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

Realization of an active book for multichannel intrathecal root stimulation in spinal cord injury - Preliminary results.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

An improved CMOS current driver for electrical impedance tomography.
Proceedings of the 20th European Conference on Circuit Theory and Design, 2011

2010
Prediction-based incremental refinement for binomially-factorized discrete wavelet transforms.
IEEE Trans. Signal Process., 2010

High-Power Integrated Stimulator Output Stages With Floating Discharge Over a Wide Voltage Range for Nerve Stimulation.
IEEE Trans. Biomed. Circuits Syst., 2010

Performance-complexity tradeoff of convolutional codes for broadband fixed wireless access systems.
IET Commun., 2010

BER Performance of a BPSK Biomedical Telemetry System under Varying Coupling and Loading Conditions.
Proceedings of the Wireless Mobile Communication and Healthcare, 2010

Electric field focusing and shifting technique in deep brain stimulation using a dynamic tripolar current source.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A wide-input linear range sub-threshold transconductor for sub-Hz filtering.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Towards an adaptive modified quasi-tripole amplifier configuration for EMG neutralization in neural recording tripoles.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A current generator circuit for tripolar stimulation and insensitive to temperature and supply variations.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A dual-mode neural stimulator capable of delivering constant current in current-mode and high stimulus charge in semi-voltage-mode.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Optimization of bipolar and tetrapolar impedance biosensors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Stimulation management for a multichannel vestibular neural prosthesis.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Comparision of methods for interference neutralisation in tripolar nerve recording cuffs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A DC-isolated fine-controlled neural stimulator.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

2009
An ASIC Front End for Planar High-Frequency Contactless Inductive Position Sensors.
IEEE Trans. Instrum. Meas., 2009

A Synchronous Chopping Demodulator and Implementation for High-Frequency Inductive Position Sensors.
IEEE Trans. Instrum. Meas., 2009

Current Conveyor-Based Square/Triangular Waveform Generators With Improved Linearity.
IEEE Trans. Instrum. Meas., 2009

Application of phased array systems to deep brain stimulation.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

An integrated common-mode feedback topology for multi-frequency bioimpedance imaging.
Proceedings of the 35th European Solid-State Circuits Conference, 2009

2008
A CMOS Hard-Decision Analog Convolutional Decoder Employing the MFDA for Low-Power Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

Constant-Resistance CMOS Input Sampling Switch for GSM/WCDMA High Dynamic Range Delta Sigma Modulators.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

An Integrated Implantable Stimulator That is Fail-Safe Without Off-Chip Blocking-Capacitors.
IEEE Trans. Biomed. Circuits Syst., 2008

Platinum electrode noise in the ENG spectrum.
Medical Biol. Eng. Comput., 2008

A DC coupled signal acquisition system with ultra-wide input range.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

An integrated design for the front-end of an inductive position sensor.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Adaptive EMG neutralization using the modified QT.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A programmable ENG amplifier with passive EMG neutralization for FES applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A synchronous chopping technique and implementation for high-frequency precision sensing.
Proceedings of the ESSCIRC 2008, 2008

2007
"Sweet Spots" in Moderate Inversion for MOSFET Squarer Transconductors.
IEEE Trans. Circuits Syst. II Express Briefs, 2007

Passive Neutralization of Myoelectric Interference From Neural Recording Tripoles.
IEEE Trans. Biomed. Eng., 2007

A Safe Transmission Strategy for Power and Data Recovery in Biomedical Implanted Devices.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

A Fully Integrated Fail-safe Stimulator Output Stage Dedicated to FES Stimulation.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

An ENG Amplifier with Passive EMG Neutralization.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

A fail-safe ASIC for implantable neural stimulation.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

A 14-mW, 153.6-MHz clock-rate Δ∑ modulator for WCDMA with 77-dB SFDR using constant resistance CMOS input sampling switch.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

A low-power CMOS analog voltage buffer using compact adaptive biasing.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

A low-voltage, low-power, high-linearity cmos four-quadrant analog multiplier.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

A readout system for inductive position sensors.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

Floating voltage-controlled current sources for electrical impedance tomography.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

Recent advances in the design of implantable stimulator output stages.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

2006
Motion estimation processor using mixed-signal approach.
IEEE Trans. Circuits Syst. II Express Briefs, 2006

An Ultra-Energy-Efficient Wide-Bandwidth Video Pipeline ADC Using Optimized Architectural Partitioning.
IEEE Trans. Circuits Syst. I Regul. Pap., 2006

The effect of interference source proximity on cuff imbalance.
IEEE Trans. Biomed. Eng., 2006

A low-power analog motion estimation processor for digital video coding.
IEEE J. Solid State Circuits, 2006

A CMOS instrumentation amplifier for wideband bioimpedance spectroscopy systems.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Interference severity in nerve cuff recordings due to muscle source relative proximity.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A stimulator output stage with capacitor reduction and failure-checking techniques.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Possible benefits of moderate inversion for MOSFET transconductors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A 19.5mW 1.5V 10-bit pipeline ADC for DVB-H systems in 0.35µm CMOS.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Comparison of Transconductance Reduction Techniques for the Design of a Very Large Time-Constant CMOS Integrator.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

A Segmented Analog Calibration Scheme for Low-Power Multi-Bit Pipeline ADCs.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

A Miniaturized, Power-Efficent Stimulator Output Stage Based on the Bridge Rectifier Circuit.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006

2005
Low-voltage MOS linear transconductor/squarer and four-quadrant multiplier for analog VLSI.
IEEE Trans. Circuits Syst. I Regul. Pap., 2005

On cuff imbalance and tripolar ENG amplifier configurations.
IEEE Trans. Biomed. Eng., 2005

An adaptive ENG amplifier for tripolar cuff electrodes.
IEEE J. Solid State Circuits, 2005

A BiCMOS ENG amplifier with high SIR output.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A low power block-matching analog motion estimation processor.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Architectures for analog motion estimation processors: a comparison.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Realization of a simple high-value grounded linear resistance in CMOS technology.
Proceedings of the 31st European Solid-State Circuits Conference, 2005

An improved, very long time-constant CMOS integrator for use in implantable neuroprosthetic devices.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

Low power, low complexity CMOS multiple-input replicating current comparators and WTA/LTA circuits.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

Generation of balanced biphasic stimulus current with integrated blocking capacitor.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

A 92dB 560MHz 1.5V 0.35 μm CMOS operational transconductance amplifier.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

Optimization of bit-per-stage for low-voltage low-power CMOS pipeline ADCs.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

2004
Design of an adaptive interference reduction system for nerve-cuff electrode recording.
IEEE Trans. Circuits Syst. I Regul. Pap., 2004

A 230-nW 10-s time constant CMOS integrator for an adaptive nerve signal amplifier.
IEEE J. Solid State Circuits, 2004

A low-power, hard-decision analogue convolutional decoder using the modified feedback decoding algorithm.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

A compact block-matching cell for analogue motion estimation processors.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Compact CMOS linear transconductor and four-quadrant analogue multiplier.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

A CMOS low-power ADC for DVB-T and DVB-H systems.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

2003
Design of a low-noise preamplifier for nerve cuff electrode recording.
IEEE J. Solid State Circuits, 2003

Continuously tunable, very long time constant CMOS integrator for a neural recording implant.
Proceedings of the ESSCIRC 2003, 2003

2002
A 100-Mb/s 2.8-V CMOS current-mode analog Viterbi decoder.
IEEE J. Solid State Circuits, 2002

2001
Effects of analogue ACS implementation errors on the modified feedback decoding algorithm.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

2000
An analogue approach to the design of motion estimators for digital video encoding.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

1999
A comparison of CMOS and BiCMOS add-compare-select circuits for maximum likelihood sequence detectors.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

A very high-speed BiCMOS current comparator for use in Viterbi decoders.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

1996
Current-mode approaches to implementing hybrid analogue/digital Viterbi decoders.
Proceedings of Third International Conference on Electronics, Circuits, and Systems, 1996

Enhanced modular CMOS current-mode winner-take-all network.
Proceedings of Third International Conference on Electronics, Circuits, and Systems, 1996

A High-Speed Scalable CMOS Current-Mode Winner-Take-All Network.
Proceedings of the Artificial Neural Networks, 1996


  Loading...