Enrique Vallejo

Orcid: 0000-0002-5133-1358

Affiliations:
  • University of Cantabria, Spain


According to our database1, Enrique Vallejo authored at least 44 papers between 2005 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
OpenPiton Optimizations Towards High Performance Manycores.
Proceedings of the 16th International Workshop on Network on Chip Architectures, 2023

2022
SynFull-RTL: Evaluation Methodology for RTL NoC Designs.
IEEE Des. Test, 2022

2021
S-SMART++: A Low-Latency NoC Leveraging Speculative Bypass Requests.
IEEE Trans. Computers, 2021

PIugSMART: a pluggable open-source module to implement multihop bypass in networks-on-chip.
Proceedings of the NOCS '21: International Symposium on Networks-on-Chip, 2021

2020
Efficient bypass in mesh and torus NoCs.
J. Syst. Archit., 2020

BST: A BookSim-Based Toolset to Simulate NoCs with Single- and Multi-Hop Bypass.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2020

2019
ACOR: Adaptive congestion-oblivious routing in dragonfly networks.
J. Parallel Distributed Comput., 2019

Non-minimal adaptive routing based on explicit congestion notifications.
Concurr. Comput. Pract. Exp., 2019

SMART++: reducing cost and improving efficiency of multi-hop bypass in NoC routers.
Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip, 2019

Lagarto I RISC-V Multi-core: Research Challenges to Build and Integrate a Network-on-Chip.
Proceedings of the Supercomputing, 2019

Impact of Network Fairness on the Performance of Parallel Systems.
Proceedings of the Australasian Computer Science Week Multiconference, 2019

2018
Efficient Router Bypass via Hybrid Flow Control.
Proceedings of the 11th International Workshop on Network on Chip Architectures, 2018

Architectural Support for Task Dependence Management with Flexible Software Scheduling.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018

Analysis and Improvement of Valiant Routing in Low-Diameter Networks.
Proceedings of the 4th IEEE International Workshop on High-Performance Interconnection Networks in the Exascale and Big-Data Era, 2018

2017
Projective Networks: Topologies for Large Parallel Computer Systems.
IEEE Trans. Parallel Distributed Syst., 2017

A scalable synthetic traffic model of Graph500 for computer networks analysis.
Concurr. Comput. Pract. Exp., 2017

FlexVC: Flexible Virtual Channel Management in Low-Diameter Networks.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium, 2017

Extending Commodity OpenFlow Switches for Large-Scale HPC Deployments.
Proceedings of the 3rd IEEE International Workshop on High-Performance Interconnection Networks in the Exascale and Big-Data Era, 2017

2016
Network unfairness in dragonfly topologies.
J. Supercomput., 2016


CATA: Criticality Aware Task Acceleration for Multicore Processors.
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium, 2016

Synthetic Traffic Model of the Graph500 Communications.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2016

2015
On-the-fly adaptive routing for dragonfly interconnection networks.
J. Supercomput., 2015

Contention-Based Nonminimal Adaptive Routing in High-Radix Networks.
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium, 2015

Performance optimization of load imbalanced workloads in large scale Dragonfly systems.
Proceedings of the 16th IEEE International Conference on High Performance Switching and Routing, 2015

On the Use of Commodity Ethernet Technology in Exascale HPC Systems.
Proceedings of the 22nd IEEE International Conference on High Performance Computing, 2015

Throughput Unfairness in Dragonfly Networks under Realistic Traffic Patterns.
Proceedings of the 2015 IEEE International Conference on Cluster Computing, 2015

2014
Topological Characterization of Hamming and Dragonfly Networks and Its Implications on Routing.
ACM Trans. Archit. Code Optim., 2014

Performance implications of remote-only load balancing under adversarial traffic in Dragonflies.
Proceedings of the 8th International Workshop on Interconnection Network Architecture, 2014

2013
Task mapping in rectangular twisted tori.
Proceedings of the 2013 Spring Simulation Multiconference, SpringSim '13, 2013

Efficient Routing Mechanisms for Dragonfly Networks.
Proceedings of the 42nd International Conference on Parallel Processing, 2013

OFAR-CM: Efficient Dragonfly Networks with Simple Congestion Management.
Proceedings of the IEEE 21st Annual Symposium on High-Performance Interconnects, 2013

Global misrouting policies in two-level hierarchical networks.
Proceedings of the 2013 Interconnection Network Architecture: On-Chip, Multi-Chip, 2013

2012
Throughput Fairness in Indirect Interconnection Networks.
Proceedings of the 13th International Conference on Parallel and Distributed Computing, 2012

Comparison Study of Scalable and Cost-Effective Interconnection Networks for HPC.
Proceedings of the 41st International Conference on Parallel Processing Workshops, 2012

On-the-Fly Adaptive Routing in High-Radix Hierarchical Networks.
Proceedings of the 41st International Conference on Parallel Processing, 2012

2011
Hybrid Transactional Memory with Pessimistic Concurrency Control.
Int. J. Parallel Program., 2011

2010
Twisted Torus Topologies for Enhanced Interconnection Networks.
IEEE Trans. Parallel Distributed Syst., 2010

Architectural Support for Fair Reader-Writer Locking.
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010

2007
Mixed-radix Twisted Torus Interconnection Networks.
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007

Implicit Transactional Memory in Kilo-Instruction Multiprocessors.
Proceedings of the Advances in Computer Systems Architecture, 2007

2006
Dense Gaussian Networks: Suitable Topologies for On-Chip Multiprocessors.
Int. J. Parallel Program., 2006

2005
Practicable Layouts for Optimal Circulant Graphs.
Proceedings of the 13th Euromicro Workshop on Parallel, 2005

Implementing Kilo-Instruction Multiprocessors.
Proceedings of the International Conference on Pervasive Services 2005, 2005


  Loading...