Felix Winterstein

Orcid: 0000-0002-2525-0693

Affiliations:
  • Imperial College London, UK


According to our database1, Felix Winterstein authored at least 14 papers between 2013 and 2017.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2017
(FPL 2015) Scavenger: Automating the Construction of Application-Optimized Memory Hierarchies.
ACM Trans. Reconfigurable Technol. Syst., 2017

Custom Multicache Architectures for Heap Manipulating Programs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Pass a pointer: Exploring shared virtual memory abstractions in OpenCL tools for FPGAs.
Proceedings of the International Conference on Field Programmable Technology, 2017

Automatic Construction of Program-Optimized FPGA Memory Networks.
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017

2016
Separation Logic for High-Level Synthesis.
ACM Trans. Reconfigurable Technol. Syst., 2016

LMC: Automatic Resource-Aware Program-Optimized Memory Partitioning.
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016

A Case for Work-stealing on FPGAs with OpenCL Atomics.
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016

2015
Custom-sized caches in application-specific memory hierarchies.
Proceedings of the 2015 International Conference on Field Programmable Technology, 2015

Scavenger: Automating the construction of application-optimized memory hierarchies.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

MATCHUP: Memory Abstractions for Heap Manipulating Programs.
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

2014
Separation Logic-Assisted Code Transformations for Efficient High-Level Synthesis.
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014

Compiling Higher Order Functional Programs to Composable Digital Hardware.
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014

2013
High-level synthesis of dynamic data structures: A case study using Vivado HLS.
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013

FPGA-based K-means clustering using tree-based data structures.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013


  Loading...