David B. Thomas

Orcid: 0000-0002-9671-0917

Affiliations:
  • Imperial College London, UK


According to our database1, David B. Thomas authored at least 114 papers between 2004 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
An Event-Driven Approach to Genotype Imputation on a Custom RISC-V Cluster.
IEEE ACM Trans. Comput. Biol. Bioinform., 2024

2023
Advancements in spiking neural network communication and synchronization techniques for event-driven neuromorphic systems.
Array, December, 2023

POETS: An Event-driven Approach to Dissipative Particle Dynamics: Implementing a Massively Compute-intensive Problem on a Novel Hard/Software Architecture.
ACM Trans. Parallel Comput., June, 2023

Event-based high throughput computing: A series of case studies on a massively parallel softcore machine.
IET Comput. Digit. Tech., January, 2023

An Event-Driven Approach To Genotype Imputation On A Custom RISC-V FPGA Cluster.
CoRR, 2023

2022
Practical Distributed Implementation of Very Large Scale Petri Net Simulations.
Trans. Petri Nets Other Model. Concurr., 2022

Synchronization in graph analysis algorithms on the Partially Ordered Event-Triggered Systems many-core architecture.
IET Comput. Digit. Tech., 2022

Non-deterministic event brokered computing.
Proceedings of the HEART 2022: International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies, Tsukuba, Japan, June 9, 2022

2021
General hardware multicasting for fine-grained message-passing architectures.
Proceedings of the 29th Euromicro International Conference on Parallel, 2021

Neuromorphic Design Using Reward-based STDP Learning on Event-Based Reconfigurable Cluster Architecture.
Proceedings of the ICONS 2021: International Conference on Neuromorphic Systems 2021, 2021

2020
A Hardware/Application Overlay Model for Large-Scale Neuromorphic Simulation.
Proceedings of the 2020 International Joint Conference on Neural Networks, 2020

Exploring performance enhancement of event-driven processor networks.
Proceedings of the International Conference on Field-Programmable Technology, 2020

Termination detection for fine-grained message-passing architectures.
Proceedings of the 31st IEEE International Conference on Application-specific Systems, 2020

2019
POETS: Distributed Event-Based Computing - Scaling Behaviour.
Proceedings of the Parallel Computing: Technology Trends, 2019

Tinsel: A Manythread Overlay for FPGA Clusters.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019

Accelerating Position-Aware Top-k ListNet for Ranking Under Custom Precision Regimes.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019

Templatised Soft Floating-Point for High-Level Synthesis.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

Formalizing Loop-Carried Dependencies in Coq for High-Level Synthesis.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

Compile-Time Generation of Custom-Precision Floating-Point IP using HLS Tools.
Proceedings of the 26th IEEE Symposium on Computer Arithmetic, 2019

2018
A High-Level Design Framework for the Automatic Generation of High-Throughput Systolic Binomial-Tree Solvers.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Accelerating Top-k ListNet Training for Ranking Using FPGA.
Proceedings of the International Conference on Field-Programmable Technology, 2018

Injecting FPGA Configuration Faults in Parallel.
Proceedings of the International Conference on Field-Programmable Technology, 2018

Redundancy-Reduced MobileNet Acceleration on Reconfigurable Logic for ImageNet Classification.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2018

Accuracy to Throughput Trade-Offs for Reduced Precision Neural Networks on Reconfigurable Logic.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2018

2017
A Domain Specific Approach to High Performance Heterogeneous Computing.
IEEE Trans. Parallel Distributed Syst., 2017

Distributed Event-Based Computing.
Proceedings of the Parallel Computing is Everywhere, 2017

Programming Model to Develop Supercomputer Combinatorial Solvers.
Proceedings of the 46th International Conference on Parallel Processing Workshops, 2017

Using Runahead Execution to Hide Memory Latency in High Level Synthesis.
Proceedings of the 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2017

2016
Neural Network Based Reinforcement Learning Acceleration on FPGA Platforms.
SIGARCH Comput. Archit. News, 2016

AT-GIS: Highly Parallel Spatial Query Processing with Associative Transducers.
Proceedings of the 2016 International Conference on Management of Data, 2016

SynADT: Dynamic Data Structures in High Level Synthesis.
Proceedings of the 24th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2016

Increasing Network Size and Training Throughput of FPGA Restricted Boltzmann Machines Using Dropout.
Proceedings of the 24th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2016

StitchUp: automatic control flow protection for high level synthesis circuits.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Synthesisable recursion for C++ HLS tools.
Proceedings of the 27th IEEE International Conference on Application-specific Systems, 2016

2015
The Table-Hadamard GRNG: An Area-Efficient FPGA Gaussian Random Number Generator.
ACM Trans. Reconfigurable Technol. Syst., 2015

Parallel Genetic Algorithms on Multiple FPGAs.
SIGARCH Comput. Archit. News, 2015

A Toolchain for Dynamic Function Off-load on CPU-FPGA Platforms.
J. Inf. Process., 2015

Courier: A Toolchain for Application Acceleration on Heterogeneous Platforms.
IPSJ Trans. Syst. LSI Des. Methodol., 2015

A general-purpose framework for FPGA-accelerated genetic algorithms.
Int. J. Bio Inspired Comput., 2015

An Efficient, Automatic Approach to High Performance Heterogeneous Computing.
CoRR, 2015

Seeing Shapes in Clouds: On the Performance-Cost trade-off for Heterogeneous Infrastructure-as-a-Service.
CoRR, 2015

SysAlloc: A hardware manager for dynamic memory allocation in heterogeneous systems.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

Recursive pipelined genetic propagation for bilevel optimisation.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

PushPush: Seamless integration of hardware and software objects via function calls over AXI.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

System-level Linking of Synthesised Hardware and Compiled Software Using a Higher-order Type System.
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

Pipelined Genetic Propagation.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

Transparent linking of compiled software and synthesized hardware.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

A General-Purpose Method for Faithfully Rounded Floating-Point Function Approximation in FPGAs.
Proceedings of the 22nd IEEE Symposium on Computer Arithmetic, 2015

2014
An Automatic Mixed Software Hardware Pipeline Builder for CPU-FPGA Platforms.
CoRR, 2014

A Domain Specific Approach to Heterogeneous Computing: From Availability to Accessibility.
CoRR, 2014

Low-latency option pricing using systolic binomial trees.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014

Is high level synthesis ready for business? A computational finance case study.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014

Automated framework for FPGA-based parallel genetic algorithms.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

Area implications of memory partitioning for high-level synthesis on FPGAs.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

Heterogeneous Heartbeats: A framework for dynamic management of Autonomous SoCs.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

FPGA Gaussian Random Number Generators with Guaranteed Statistical Accuracy.
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014

Compiling Higher Order Functional Programs to Composable Digital Hardware.
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014

Automated Framework for General-Purpose Genetic Algorithms in FPGAs.
Proceedings of the Applications of Evolutionary Computation - 17th European Conference, 2014

2013
Multiplierless Algorithm for Multivariate Gaussian Random Number Generation in FPGAs.
IEEE Trans. Very Large Scale Integr. Syst., 2013

The LUT-SR Family of Uniform Random Number Generators for FPGA Architectures.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Customisable architectures for the set covering problem.
SIGARCH Comput. Archit. News, 2013

Scalable XML Query Processing using Parallel Pushdown Transducers.
Proc. VLDB Endow., 2013

A Heterogeneous Computing Framework for Computational Finance.
Proceedings of the 42nd International Conference on Parallel Processing, 2013

A fully pipelined FPGA architecture for stochastic simulation of chemical systems.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

FPGA based control for real time systems.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

Parallel Generation of Gaussian Random Numbers Using the Table-Hadamard Transform.
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013

Hardware Acceleration of Matrix Multiplication over Small Prime Finite Fields.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2013

2012
Design Exploration of Quadrature Methods in Option Pricing.
IEEE Trans. Very Large Scale Integr. Syst., 2012

A Domain Specific Language and Toolchain for OpenCV Runtime Binary Acceleration Using GPU.
Proceedings of the Third International Conference on Networking and Computing, 2012

Optimising explicit finite difference option pricing for dynamic constant reconfiguration.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

Exploring the latency-resource trade-off for the Discrete Fourier Transform on the FPGA.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

Parallel FPGA-based all pairs shortest paths for sparse networks: A human brain connectome case study.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

A mixed precision Monte Carlo methodology for reconfigurable accelerator systems.
Proceedings of the ACM/SIGDA 20th International Symposium on Field Programmable Gate Arrays, 2012

A Reconfigurable Computing Approach for Efficient and Scalable Parallel Graph Exploration.
Proceedings of the 23rd IEEE International Conference on Application-Specific Systems, 2012

Optimising Performance of Quadrature Methods with Reduced Precision.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2012

Multi-level Customisation Framework for Curve Based Monte Carlo Financial Simulations.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2012

2011
Management and programming of reconfigurable hardware resources.
PhD thesis, 2011

A framework for FPGA acceleration of large graph problems: Graphlet counting case study.
Proceedings of the 2011 International Conference on Field-Programmable Technology, 2011

Unifying Finite Difference Option-Pricing for Hardware Acceleration.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

On Comparing Financial Option Price Solvers on FPGA.
Proceedings of the IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines, 2011

2010
Efficient reconfigurable design for pricing asian options.
SIGARCH Comput. Archit. News, 2010

Dynamic scheduling Monte-Carlo framework for multi-accelerator heterogeneous clusters.
Proceedings of the International Conference on Field-Programmable Technology, 2010

Comparing performance and energy efficiency of FPGAs and GPUs for high productivity computing.
Proceedings of the International Conference on Field-Programmable Technology, 2010

Reconfigurable Control Variate Monte-Carlo Designs for Pricing Exotic Options.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

FPGA-Optimised Uniform Random Number Generators Using LUTs and Shift Registers.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

An FPGA-specific algorithm for direct generation of multi-variate Gaussian random numbers.
Proceedings of the 21st IEEE International Conference on Application-specific Systems Architectures and Processors, 2010

2009
Exploring Reconfigurable Architectures for Tree-Based Option Pricing Models.
ACM Trans. Reconfigurable Technol. Syst., 2009

FPGA Accelerated Low-Latency Market Data Feed Processing.
Proceedings of the 17th IEEE Symposium on High Performance Interconnects, 2009

Exploring reconfigurable architectures for explicit finite difference option pricing models.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009

A comparison of CPUs, GPUs, FPGAs, and massively parallel processor arrays for random number generation.
Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, 2009

Accelerating Quadrature Methods for Option Valuation.
Proceedings of the FCCM 2009, 2009

FPGA Accelerated Simulation of Biologically Plausible Spiking Neural Networks.
Proceedings of the FCCM 2009, 2009

2008
Multivariate Gaussian Random Number Generation Targeting Reconfigurable Hardware.
ACM Trans. Reconfigurable Technol. Syst., 2008

Estimation of sample mean and variance for Monte-Carlo simulations.
Proceedings of the 2008 International Conference on Field-Programmable Technology, 2008

Sampling from the exponential distribution using independent Bernoulli variates.
Proceedings of the FPL 2008, 2008

FPGA-optimised high-quality uniform random number generators.
Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, 2008

Credit Risk Modelling using Hardware Accelerated Monte-Carlo Simulation.
Proceedings of the 16th IEEE International Symposium on Field-Programmable Custom Computing Machines, 2008

Resource efficient generators for the floating-point uniform and exponential distributions.
Proceedings of the 19th IEEE International Conference on Application-Specific Systems, 2008

Exploring Reconfigurable Architectures for Binomial-Tree Pricing Models.
Proceedings of the Reconfigurable Computing: Architectures, 2008

An FPGA run-time parameterisable Log-Normal Random Number Generator.
Proceedings of the Reconfigurable Computing: Architectures, 2008

2007
High Quality Uniform Random Number Generation Using LUT Optimised State-transition Matrices.
J. VLSI Signal Process., 2007

Non-uniform random number generation through piecewise linear approximations.
IET Comput. Digit. Tech., 2007

Gaussian random number generators.
ACM Comput. Surv., 2007

A Domain Specific Language for Reconfigurable Path-based Monte Carlo Simulations.
Proceedings of the 2007 International Conference on Field-Programmable Technology, 2007

Sampling from the Multivariate Gaussian Distribution using Reconfigurable Hardware.
Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, 2007

Automatic Generation and Optimisation of Reconfigurable Financial Monte-Carlo Simulations.
Proceedings of the IEEE International Conference on Application-Specific Systems, 2007

Reconfigurable Hardware Acceleration of Canonical Graph Labelling.
Proceedings of the Reconfigurable Computing: Architectures, 2007

2006
A Reconfigurable Simulation Framework for Financial Computation.
Proceedings of the 2006 IEEE International Conference on Reconfigurable Computing and FPGA's, 2006

Hardware architectures for Monte-Carlo based financial simulations.
Proceedings of the 2006 IEEE International Conference on Field Programmable Technology, 2006

Efficient Hardware Generation of Random Variates with Arbitrary Distributions.
Proceedings of the 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 2006

2005
High quality uniform random number generation for massively parallel simulations in FPGA.
Proceedings of the 2005 International Conference on Reconfigurable Computing and FPGAs, 2005

High Quality Uniform Random Number Generation Through LUT Optimised Linear Recurrences.
Proceedings of the 2005 IEEE International Conference on Field-Programmable Technology, 2005

2004
Pipelining designs with loop-carried dependencies.
Proceedings of the 2004 IEEE International Conference on Field-Programmable Technology, 2004

Implementing Graphics Shaders Using FPGAs.
Proceedings of the Field Programmable Logic and Application, 2004


  Loading...