Florian Auernhammer

According to our database1, Florian Auernhammer authored at least 7 papers between 2002 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
An Architecture for Heterogeneous High-Performance Computing Systems: Motivation and Requirements.
Proceedings of the IEEE John Vincent Atanasoff International Symposium on Modern Computing, 2023

2019
Coherently Attached Programmable Near-Memory Acceleration Platform and its application to Stencil Processing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
XIVE: External interrupt virtualization for the cloud infrastructure.
IBM J. Res. Dev., 2018

2015
The cache and memory subsystems of the IBM POWER8 processor.
IBM J. Res. Dev., 2015

2011
Optimized processor - network adapter coupling for high performance applications.
PhD thesis, 2011

2008
Design optimization of a highly parallel InfiniBand host channel adapter.
Proceedings of the 2008 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2008

2002
Minimizing Spurious Switching Activities in CMOS Circuits.
Proceedings of the Integrated Circuit Design. Power and Timing Modeling, 2002


  Loading...