Dionysios Diamantopoulos

Orcid: 0000-0003-2979-5946

According to our database1, Dionysios Diamantopoulos authored at least 55 papers between 2011 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
WFA-FPGA: An efficient accelerator of the wavefront algorithm for short and long read genomics alignment.
Future Gener. Comput. Syst., December, 2023

Advancing Compilation of DNNs for FPGAs Using Operation Set Architectures.
IEEE Comput. Archit. Lett., 2023

DOSA: Organic Compilation for Neural Network Inference on Distributed FPGAs.
Proceedings of the IEEE International Conference on Edge Computing and Communications, 2023

Composability of Cloud Accelerators in Virtual World Simulations.
Proceedings of the 16th IEEE International Conference on Cloud Computing, 2023

2022
Accelerating Weather Prediction Using Near-Memory Reconfigurable Fabric.
ACM Trans. Reconfigurable Technol. Syst., 2022

Exploiting HBM on FPGAs for Data Processing.
ACM Trans. Reconfigurable Technol. Syst., 2022

LEAPER: Modeling Cloud FPGA-based Systems via Transfer Learning.
CoRR, 2022

Dynamic Optimization of On-Chip Memories for HLS Targeting Many-Accelerator Platforms.
IEEE Comput. Archit. Lett., 2022

LEAPER: Fast and Accurate FPGA-based System Performance Prediction via Transfer Learning.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

Dynamic Heap Management in High-Level Synthesis for Many-Accelerator Architectures.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022

2021
FPGA-Based Near-Memory Acceleration of Modern Data-Intensive Applications.
IEEE Micro, 2021

NERO: Accelerating Weather Prediction using Near-Memory Reconfigurable Fabric.
CoRR, 2021

An FPGA Accelerator of the Wavefront Algorithm for Genomics Pairwise Alignment.
Proceedings of the 31st International Conference on Field-Programmable Logic and Applications, 2021

Modeling FPGA-Based Systems via Few-Shot Learning.
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021

EVEREST: A design environment for extreme-scale big data analytics on heterogeneous platforms.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Heterogeneous Computing Systems for Complex Scientific Discovery Workflows.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

A Case for Function-as-a-Service with Disaggregated FPGAs.
Proceedings of the 14th IEEE International Conference on Cloud Computing, 2021

Acceleration-as-a-µService: A Cloud-native Monte-Carlo Option Pricing Engine on CPUs, GPUs and Disaggregated FPGAs.
Proceedings of the 14th IEEE International Conference on Cloud Computing, 2021

2020
PHRYCTORIA: A Messaging System for Transprecision OpenCAPI-attached FPGA Accelerators.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020

NERO: A Near High-Bandwidth Memory Stencil Accelerator for Weather Prediction Modeling.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

High Bandwidth Memory on FPGAs: A Data Analytics Perspective.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

A Hardware/Software Co-Design of K-mer Counting Using a CAPI-Enabled FPGA.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

Agile Autotuning of a Transprecision Tensor Accelerator Overlay for TVM Compiler Stack.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

XwattPilot: A Full-stack Cloud System Enabling Agile Development of Transprecision Software for Low-power SoCs.
Proceedings of the 2020 IEEE Symposium in Low-Power and High-Speed Chips, 2020

2019
Low Precision Processing for High Order Stencil Computations.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019

NARMADA: Near-Memory Horizontal Diffusion Accelerator for Scalable Stencil Computations.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019

Coherently Attached Programmable Near-Memory Acceleration Platform and its application to Stencil Processing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

HelmGemm: Managing GPUs and FPGAs for Transprecision GEMM Workloads in Containerized Environments.
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019

2018
Extending the POWER Architecture with Transprecision Co-Processors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A System-Level Transprecision FPGA Accelerator for BLSTM Using On-chip Memory Reshaping.
Proceedings of the International Conference on Field-Programmable Technology, 2018

ecTALK: Energy efficient coherent transprecision accelerators - The bidirectional long short-term memory neural network case.
Proceedings of the 2018 IEEE Symposium in Low-Power and High-Speed Chips, 2018

2017
An FPGA-based Integrated MapReduce Accelerator Platform.
J. Signal Process. Syst., 2017

On supporting rapid prototyping of embedded systems with reconfigurable architectures.
Integr., 2017

2015
GENESIS: Parallel Application Placement onto Reconfigurable Architectures (Invited for the Special Issue on Runtime Management).
ACM Trans. Embed. Comput. Syst., 2015

Mitigating Memory-Induced Dark Silicon in Many-Accelerator Architectures.
IEEE Comput. Archit. Lett., 2015

High-level synthesizable dataflow MapReduce accelerator for FPGA-coupled data centers.
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015

High-Level-Synthesis extensions for scalable Single-Chip Many-Accelerators on FPGAs.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

Evaluation of High-Level Synthesis Techniques for Memory and Datapath Tradeoffs in FPGA Based SoC Architectures.
Proceedings of the Applied Reconfigurable Computing - 11th International Symposium, 2015

TEAChER: TEach AdvanCEd Reconfigurable Architectures and Tools.
Proceedings of the Applied Reconfigurable Computing - 11th International Symposium, 2015

SPARTAN/SEXTANT/COMPASS: Advancing Space Rover Vision via Reconfigurable Platforms.
Proceedings of the Applied Reconfigurable Computing - 11th International Symposium, 2015

Dynamic Memory Management in Vivado-HLS for Scalable Many-Accelerator Architectures.
Proceedings of the Applied Reconfigurable Computing - 11th International Symposium, 2015

2014
Plug&Chip: A Framework for Supporting Rapid Prototyping of 3D Hybrid Virtual SoCs.
ACM Trans. Embed. Comput. Syst., 2014

A framework for rapid evaluation of heterogeneous 3-D NoC architectures.
Microprocess. Microsystems, 2014

SPARTAN: Developing a Vision System for Future Autonomous Space Exploration Robots.
J. Field Robotics, 2014

Using high-level synthesis to build memory and datapath optimized DSP accelerators.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

2013
A new design paradigm for floating point DSP applications based on ESL/HLS and FPGAs?
Proceedings of the IEEE International Symposium on Signal Processing and Information Technology, 2013

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

2012
A Systematic Methodology for Reliability Improvements on SoC-Based Software Defined Radio Systems.
VLSI Design, 2012

FPGA-based path-planning of high mobility rover for future planetary missions.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

Hardware implementation of stereo correspondence algorithm for the ExoMars mission.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

SPARTAN project: On profiling computer vision algorithms for rover navigation.
Proceedings of the 2012 NASA/ESA Conference on Adaptive Hardware and Systems, 2012

2011
Thermal optimization for micro-architectures through selective block replication.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

SPARTAN project: Efficient implementation of computer vision algorithms onto reconfigurable platform targeting to space applications.
Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011

Design and experimentation with low-power morphable multipliers.
Proceedings of the 18th IEEE International Conference on Electronics, Circuits and Systems, 2011

Configurable baseband digital transceiver for Gbps wireless 60 GHz communications.
Proceedings of the 18th IEEE International Conference on Electronics, Circuits and Systems, 2011


  Loading...