Joel Grodstein

According to our database1, Joel Grodstein authored at least 21 papers between 1990 and 2011.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2011
Test Vector Generation for Post-Silicon Delay Testing Using SAT-Based Decision Problems.
J. Electron. Test., 2011

2009
AutoRex: An automated post-silicon clock tuning tool.
Proceedings of the 2009 IEEE International Test Conference, 2009

2008
Fast Measurement of the "Non-Deterministic Zone" in Microprocessor Debug Using Maximum Likelihood Estimation.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

2007
Accurate timing analysis using SAT and pattern-dependent delay models.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
Timing analysis for full-custom circuits using symbolic DC formulations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

2005
Symbolic failure analysis of complex CMOS circuits due to excessive leakage current and charge sharing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

2004
RESTA: a robust and extendable symbolic timing analysis tool.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

2003
Symbolic Failure Analysis of Custom Circuits due to Excessive Leakage Current.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

Automatic Generation of Critical-Path Tests for a Partial-Scan Microprocessor.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

2002
Timing Analysis for Full-Custom Circuits Using Symbolic DC Formulations.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002

Power and CAD considerations for the 1.75mbyte, 1.2ghz L2 cache on the alpha 21364 CPU.
Proceedings of the 12th ACM Great Lakes Symposium on VLSI 2002, 2002

1998
A low-cost, 300-MHz, RISC CPU with attached media processor.
IEEE J. Solid State Circuits, 1998

Static race verification for networks with reconvergent clocks.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998

1997
Logic decomposition during technology mapping.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

1995
A delay model for logic synthesis of continuously-sized networks.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

1994
Optimal latch mapping and retiming within a tree.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

1993
A simple algorithm for fanout optimization using high-performance buffer libraries.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

1991
Automatic Detection of MOS Synchronizers for Timing Verification.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

Timing Verification on a 1.2M-Device Full-Custom CMOS Design.
Proceedings of the 28th Design Automation Conference, 1991

1990
Constraint Identification for Timing Verification.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

Race Detection for Two-Phase Systems.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990


  Loading...