Liang Wen

Orcid: 0000-0001-5490-4943

According to our database1, Liang Wen authored at least 50 papers between 2013 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Distortion-Aware Convolutional Neural Network-Based Interpolation Filter for AVS3.
Proceedings of the IEEE International Conference on Acoustics, 2023

A 7nm-Based Decodable Self-Resetting Regfile Circuit.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

Full-custom Design of Improved Carry Adder Circuit for CLBs.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

An Architecture of a Single-Event Tolerant D Flip-flop Using Full-Custom Design in 28nm Process.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

Efficient Blockchain Data Trusty Provenance Based on the W3C PROV Model.
Proceedings of the Advanced Data Mining and Applications - 19th International Conference, 2023

A Fine-Grained Verification Method for Blockchain Data Based on Merkle Path Sharding.
Proceedings of the Advanced Data Mining and Applications - 19th International Conference, 2023

2022
Kalman Filter-Based Data-Driven Robust Model-Free Adaptive Predictive Control of a Complicated Industrial Process.
IEEE Trans Autom. Sci. Eng., 2022

Combination of VMD Mapping MFCC and LSTM: A New Acoustic Fault Diagnosis Method of Diesel Engine.
Sensors, 2022

Health Status Assessment of Diesel Engine Valve Clearance Based on BFA-BOA-VMD Adaptive Noise Reduction and Multi-Channel Information Fusion.
Sensors, 2022

Compression Reconstruction and Fault Diagnosis of Diesel Engine Vibration Signal Based on Optimizing Block Sparse Bayesian Learning.
Sensors, 2022

TVD-PB logic circuit based on camouflaging circuit for IoT security.
IET Circuits Devices Syst., 2022

Semi-supervised region-connectivity-based cerebrovascular segmentation for time-of-flight magnetic resonance angiography image.
Comput. Biol. Medicine, 2022

A 65nm/0.448 mW EEG processor with parallel architecture SVM and lifting wavelet transform for high-performance and low-power epilepsy detection.
Comput. Biol. Medicine, 2022

Power Balance Control Strategy of Permanent Magnet Synchronous Motor of Belt Conveyor.
IEEE Access, 2022

Multi-Stage Progressive Audio Bandwidth Extension.
Proceedings of the IEEE Spoken Language Technology Workshop, 2022

Brain Tumor Grading Prediction and Brain Health Management Based on Multi-Physiological Data Fusion.
Proceedings of the ICCAI '22: 8th International Conference on Computing and Artificial Intelligence, Tianjin, China, March 18, 2022

A Question-Oriented Propagation Network for News Reading Comprehension.
Proceedings of the IEEE International Conference on Acoustics, 2022

M3: A Multi-View Fusion and Multi-Decoding Network for Multi-Document Reading Comprehension.
Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, 2022

Learning Invariant Representation Improves Robustness for MRC Models.
Proceedings of the Findings of the Association for Computational Linguistics: EMNLP 2022, 2022

Original Content Is All You Need! an Empirical Study on Leveraging Answer Summary for WikiHowQA Answer Selection Task.
Proceedings of the 29th International Conference on Computational Linguistics, 2022

2021
Exploring Chinese Feng Shui Culture for Achieving Sustainability.
Int. J. Inf. Syst. Soc. Chang., 2021

X-net: A Joint Scale Down and Scale Up Method for Voice Call.
Proceedings of the Interspeech 2021, 22nd Annual Conference of the International Speech Communication Association, Brno, Czechia, 30 August, 2021

2020
Radiation-Hardened, Read-Disturbance-Free New-Quatro-10T Memory Cell for Aerospace Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Design optimization of confidentiality-critical cyber physical systems with fault detection.
J. Syst. Archit., 2020

65 nm sub-threshold logic standard cell library using quasi-Schmitt-trigger design scheme and inverse narrow width effect aware sizing.
IET Circuits Devices Syst., 2020

2019
Column-Selection-Enabled 10T SRAM Utilizing Shared Diff-VDD Write and Dropped-VDD Read for Power Reduction.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Energy-Aware Design of Stochastic Applications With Statistical Deadline and Reliability Guarantees.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Correction: Geospatial characteristics of measles transmission in China during 2005-2014.
PLoS Comput. Biol., 2019

A 96kb, 0.36V, Energy-Efficient 8T-SRAM with Column-Selection and Shared Buffer-Foot Techniques for EEG Processor.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

2018
Model Free Adaptive Predictive Control of Multivariate Molten Iron Quality in Blast Furnace Ironmaking.
Proceedings of the 57th IEEE Conference on Decision and Control, 2018

2017
Geospatial characteristics of measles transmission in China during 2005-2014.
PLoS Comput. Biol., 2017

Sub-threshold level converter with internal supply feedback for multi-voltage applications.
IET Circuits Devices Syst., 2017

2016
Bit-Interleaving-Enabled 8T SRAM With Shared Data-Aware Write and Reference-Based Sense Amplifier.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

Subthreshold Level Shifter With Self-Controlled Current Limiter by Detecting Output Error.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

System-Level Design to Detect Fault Injection Attacks on Embedded Real-Time Applications.
ACM J. Emerg. Technol. Comput. Syst., 2016

An Optimization Research on the Alternate Interval for Electronic Products.
Proceedings of the 2016 International Conference on Intelligent Networking and Collaborative Systems, 2016

A method for Word Sense Disambiguation combining contextual semantic features.
Proceedings of the 2016 International Conference on Asian Language Processing, 2016

A study on the construction of a grade-level reading corpus for TCSL.
Proceedings of the 2016 International Conference on Asian Language Processing, 2016

Energy optimization of stochastic applications with statistical guarantees of deadline and reliability.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Minimum cost VRP with time-dependent speed data and congestion charge.
Comput. Oper. Res., 2015

Detecting Fault Injection Attacks on Embedded Real-Time Applications: A System-Level Perspective.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Energy-efficient sub-threshold level shifter.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

A complete parallel narrowband active noise control system based on residual error separation using variable leaky LMS.
Proceedings of the Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, 2015

2014
Analysis of a read disturb-free 9T SRAM cell with bit-interleaving capability.
Microelectron. J., 2014

An area-efficient dual replica-bitline delay technique for process-variation-tolerant low voltage SRAM sense amplifier timing.
IEICE Electron. Express, 2014

Finding a minimum cost path between a pair of nodes in a time-varying road network with a congestion charge.
Eur. J. Oper. Res., 2014

Global exponential stability for coupled retarded systems on networks: A graph-theoretic approach.
Commun. Nonlinear Sci. Numer. Simul., 2014

A new hybrid active noise control system with residual error separation structure.
Proceedings of the Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, 2014

A narrowband active noise control system with frequency mismatch compensation.
Proceedings of the Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, 2014

2013
Single-ended, robust 8T SRAM cell for low-voltage operation.
Microelectron. J., 2013


  Loading...