Xiaoyang Zeng

Orcid: 0009-0001-9928-8782

According to our database1, Xiaoyang Zeng authored at least 365 papers between 2005 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A 1.6 GS/s 42.6-dB SNDR Synthesis Friendly Time-Interleaved SAR ADC Using Metastability Detection and Escape Acceleration Technique.
IEEE Trans. Circuits Syst. II Express Briefs, April, 2024

SET Tolerable SRAM Hardened by DMR Circuit With Feedback-Split-Gate Voter and High-Speed Hierarchical Structure.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

Gem5Tune: A Parameter Auto-Tuning Framework for Gem5 Simulator to Reduce Errors.
IEEE Trans. Computers, March, 2024

A Compact 0.1-1.95 GHz, 1.5 dB NF LNTA Based on Cascode Inverters.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2024

A 107.6 dB-DR Three-Step Incremental ADC for Motion-Tolerate Biopotential Signals Recording.
IEEE Trans. Biomed. Circuits Syst., February, 2024

Moving object detection in gigapixel-level videos using manifold sparse representation.
Multim. Tools Appl., February, 2024

MED-Prompt: A novel prompt engineering framework for medicine prediction on free-text clinical notes.
J. King Saud Univ. Comput. Inf. Sci., February, 2024

A Multimode Neuromorphic Vision Sensor With Improved Brightness Measurement Performance by Pulse Coding Method.
IEEE Internet Things J., February, 2024

An Energy-Efficient BNN Accelerator With Two-Stage Value Prediction for Sparse-Edge Gesture Recognition.
IEEE Trans. Circuits Syst. I Regul. Pap., January, 2024

Examining the role of tap cell in suppressing single event transient effect in 28-nm CMOS technology.
Microelectron. J., January, 2024

Meta-knowledge guided Bayesian optimization framework for robust crop yield estimation.
J. King Saud Univ. Comput. Inf. Sci., January, 2024

CCTSS: The Combination of CNN and Transformer with Shared Sublayer for Detection and Classification.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., January, 2024

GATe: Streamlining Memory Access and Communication to Accelerate Graph Attention Network With Near-Memory Processing.
IEEE Comput. Archit. Lett., 2024

2023
Dominant-Node Theory and Monitoring-Rescue Method for Eliminating Undesired Operating Points in the Self-Biased Reference Generators.
IEEE Trans. Circuits Syst. I Regul. Pap., December, 2023

Tempo-CIM: A RRAM Compute-in-Memory Neuromorphic Accelerator With Area-Efficient LIF Neuron and Split-Train-Merged-Inference Algorithm for Edge AI Applications.
IEEE J. Emerg. Sel. Topics Circuits Syst., December, 2023

An 8.8 TFLOPS/W Floating-Point RRAM-Based Compute-in-Memory Macro Using Low Latency Triangle-Style Mantissa Multiplication.
IEEE Trans. Circuits Syst. II Express Briefs, November, 2023

Area-Efficient Processing Elements-Based Adaptive Loop Filter Architecture With Optimized Memory for VVC.
IEEE Trans. Circuits Syst. II Express Briefs, November, 2023

DMBF: Design Metrics Balancing Framework for Soft-Error-Tolerant Digital Circuits Through Bayesian Optimization.
IEEE Trans. Circuits Syst. I Regul. Pap., October, 2023

A novel fast intra algorithm for VVC based on histogram of oriented gradient.
J. Vis. Commun. Image Represent., September, 2023

An Emerging NVM CIM Accelerator With Shared-Path Transpose Read and Bit-Interleaving Weight Storage for Efficient On-Chip Training in Edge Devices.
IEEE Trans. Circuits Syst. II Express Briefs, July, 2023

Area-Efficient 1T-2D-2MTJ SOT-MRAM Cell for High Read Performance.
IEEE Trans. Circuits Syst. II Express Briefs, June, 2023

A Reconfigurable Multiple Transform Selection Architecture for VVC.
IEEE Trans. Very Large Scale Integr. Syst., May, 2023

A Tuning Range Extension Technique for Waveform-Shaping Single-Core CMOS Oscillators With No Dedicated Harmonic Tuning.
IEEE Trans. Circuits Syst. II Express Briefs, April, 2023

A Non-Redundant Latch With Key-Node-Upset Obstacle of Beneficial Efficiency for Harsh Environments Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., April, 2023

A 1.8-GΩ Input-Impedance 0.15-μV Input-Referred-Ripple Chopper Amplifier With Local Positive Feedback and SAR-Assisted Ripple Reduction.
IEEE J. Solid State Circuits, March, 2023

ARBiS: A Hardware-Efficient SRAM CIM CNN Accelerator With Cyclic-Shift Weight Duplication and Parasitic-Capacitance Charge Sharing for AI Edge Application.
IEEE Trans. Circuits Syst. I Regul. Pap., January, 2023

Tag-Sharer-Fusion Directory: A Scalable Coherence Directory With Flexible Entry Formats.
IEEE Trans. Parallel Distributed Syst., 2023

LineDL: Processing Images Line-by-Line With Deep Learning.
IEEE Trans. Image Process., 2023

TSDN: Two-Stage Raw Denoising in the Dark.
IEEE Trans. Image Process., 2023

A 1T2R1C ReRAM CIM Accelerator With Energy-Efficient Voltage Division and Capacitive Coupling for CNN Acceleration in AI Edge Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2023

A 40nm 150 TOPS/W High Row-Parallel MRAM Compute-in-Memory Macro with Series 3T1MTJ Bitcell for MAC Operation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Queue-based Spatiotemporal Filter and Clustering for Dynamic Vision Sensor.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Fast VVC Intra Encoding for Video Coding for Machines.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Denoising Method for Dynamic Vision Sensor Based on Two-Dimensional Event Density.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Graph-Attention-Network-Based Cost Estimation Model in Materialized View Environment.
Proceedings of the 29th IEEE International Conference on Parallel and Distributed Systems, 2023

An Efficient Bundle Adjustment Approach for Stereo Visual Odometry with Pose Consensus.
Proceedings of the IEEE International Conference on Consumer Electronics, 2023

A 2MHz-BW 96.8dB-SNDR 98dB-SNR CT-Zoom ADC With Residue Feedforward, Redundancy and Fully LMS-Based Calibration.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

A Self Bias-flip Piezoelectric Energy Harvester Array without External Energy Reservoirs achieving 488% Improvement with 4-Ratio Switched-PEH DC-DC Converter.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

Multi-Instance Bias Suppression for Enhanced Generalization in Breast Cancer Diagnosis : Harnessing Histopathological Big Data Insights.
Proceedings of the IEEE International Conference on Big Data, 2023

GCFP-ACIM: A 40nm 4.74TFLOPS/W General Complex Float-Point Analog Compute-in-Memory with Adaptive Power-Saving for HDR Signal Processing Applications.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2023

A Decision-Based CORDIC Hardware for Arc Tangent Calculation.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

Performance Error Evaluation of gem5 Simulator for ARM Server.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

Bit-Offsetter: A Bit-serial DNN Accelerator with Weight-offset MAC for Bit-wise Sparsity Exploitation.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

2022
Obstacle Detection for Unmanned Surface Vehicles by Fusion Refinement Network.
IEICE Trans. Inf. Syst., August, 2022

Tear the Image Into Strips for Style Transfer.
IEEE Trans. Multim., 2022

QA-Filter: A QP-Adaptive Convolutional Neural Network Filter for Video Coding.
IEEE Trans. Image Process., 2022

A High Throughput and Energy Efficient Lepton Hardware Encoder With Hash-Based Memory Optimization.
IEEE Trans. Circuits Syst. Video Technol., 2022

A Fast CABAC Hardware Design for Accelerating the Rate Estimation in HEVC.
IEEE Trans. Circuits Syst. Video Technol., 2022

Piezoelectric Energy Harvesters: An Overview on Design Strategies and Topologies.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Hardware-Efficient Beamspace Direction-of-Arrival Estimator for Unequal-Sized Subarrays.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Completely Blind Video Quality Evaluator.
IEEE Signal Process. Lett., 2022

A 2D2R ReRAM CIM accelerator for multilayer perceptron in visual classification applications.
Microelectron. J., 2022

An Attention Nested U-Structure Suitable for Salient Ship Detection in Complex Maritime Environment.
IEICE Trans. Inf. Syst., 2022

An Efficient Low-Complexity Convolutional Neural Network Filter.
IEEE Multim., 2022

A 28 nm 81 Kb 59-95.3 TOPS/W 4T2R ReRAM Computing-in-Memory Accelerator With Voltage-to-Time-to-Digital Based Output.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2022

A high accuracy and low complexity quality control method for image compression.
CoRR, 2022

FAVER: Blind Quality Prediction of Variable Frame Rate Videos.
CoRR, 2022

Learning from the NN-based Compressed Domain with Deep Feature Reconstruction Loss.
Proceedings of the IEEE International Conference on Visual Communications and Image Processing, 2022

COMB-MCM: Computing-on-Memory-Boundary NN Processor with Bipolar Bitwise Sparsity Optimization for Scalable Multi-Chiplet-Module Edge Machine Learning.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

An Enhanced Start-up Circuit Eliminating All Trojan States in Self-biased Reference Generators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A Multiple Charge Extractions and Multiple Precharge Interface Circuit for Piezoelectric Energy Harvesting.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A Synthesis Friendly Dynamic Amplifier with Fuzzy-Logic Piecewise-Linear Calibration.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A Cross Regulation Reduced Multi-Output and Multi-VCR Piezoelectric Energy Harvesting System Using Shared Capacitors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

NIMBLE: A Neuromorphic Learning Scheme and Memristor Based Computing-in-Memory Engine for EMG Based Hand Gesture Recognition.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A QP-adaptive Mechanism for CNN-based Filter in Video Coding.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A Low-latency Carrier Phase Recovery Hardware for Coherent Optical Communication.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A Fully Synthesizable Dynamic Latched Comparator with Reduced Kickback Noise.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A High Area-Efficiency RRAM-Based Strong PUF with Multi-Entropy Source and Configurable Double-Read Process.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Fast Intra Mode Decision for VVC Based on Histogram of Oriented Gradient.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A 3.1 Gbin/s advanced entropy coding hardware design for AVS3.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Blind Video Quality Assessment via Space-Time Slice Statistics.
Proceedings of the 2022 IEEE International Conference on Image Processing, 2022

Learned Video Compression With Residual Prediction And Feature-Aided Loop Filter.
Proceedings of the 2022 IEEE International Conference on Image Processing, 2022

No-Reference Quality Assessment of Variable Frame-Rate Videos Using Temporal Bandpass Statistics.
Proceedings of the IEEE International Conference on Acoustics, 2022

A 130μW Three-Step DT Incremental Δ ∑ ADC Achieving 107.6dB DR and 99.3dB SNDR with Zoom and Extended-Range Counting.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

A 91.6% Peak Efficiency Time-Domain-Controlled Single-Inductor Triple-Output Step-Up Converter with ±7.5 to ±12V Bipolar Output Voltages.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

A 1.8GΩ-Input-Impedance 0.15µV-Input-Referred-Ripple Chopper Amplifier with Local Positive Feedback and SAR-Assisted Ripple Reduction.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

An Approximate-Computing-Based Adaptive Equalizer for Polarization Mode Dispersion.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

An Improved Multi-Objective Optimization Framework for Soft-Error Immune Circuits.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

2021
Unambiguous Direction-of-Arrival Estimation for Improved Scanning Efficiency in Subarray-Based Hybrid Array.
IEEE Trans. Veh. Technol., 2021

An Energy Efficient Computing-in-Memory Accelerator With 1T2R Cell and Fully Analog Processing for Edge AI Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

General Efficient TMR for Combinational Circuit Hardening Against Soft Errors and Improved Multi-Objective Optimization Framework.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Radiation Hardened 12T SRAM With Crossbar-Based Peripheral Circuit in 28nm CMOS Technology.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

MC-LSTM: Real-Time 3D Human Action Detection System for Intelligent Healthcare Applications.
IEEE Trans. Biomed. Circuits Syst., 2021

Learned Image Compression With Separate Hyperprior Decoders.
IEEE Open J. Circuits Syst., 2021

A 28 nm, 397 μW real-time dynamic gesture recognition chip based on RISC-V processor.
Microelectron. J., 2021

An energy-efficient crypto-extension design for RISC-V.
Microelectron. J., 2021

Synthesizable lead-lag quantization technique for digital VCO-based ΔΣ ADC.
Microelectron. J., 2021

A low-power twiddle factor addressing architecture for split-radix FFT processor.
Microelectron. J., 2021

High-Density 3-D Stackable Crossbar 2D2R nvTCAM With Low-Power Intelligent Search for Fast Packet Forwarding in 5G Applications.
IEEE J. Solid State Circuits, 2021

Orthogonal obfuscation based key management for multiple IP protection.
Integr., 2021

Manifold constrained joint sparse learning via non-convex regularization.
Neurocomputing, 2021

A Reconfigurable 74-140Mbps LDPC Decoding System for CCSDS Standard.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2021

Learned Video Compression with Residual Prediction and Loop Filter.
CoRR, 2021

A Power and Area Efficient Lepton Hardware Encoder with Hash-based Memory Optimization.
CoRR, 2021

An efficient non-convex total variation approach for image deblurring and denoising.
Appl. Math. Comput., 2021

Decision Tree-Based Adaptive Reconfigurable Cache Scheme.
Algorithms, 2021

Fast Style Transfer with High Shape Retention.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Fast Object Detection in HEVC Intra Compressed Domain.
Proceedings of the 29th European Signal Processing Conference, 2021

TRIGON: A Single-phase-clocking Low Power Hardened Flip-Flop with Tolerance to Double-Node-Upset for Harsh Environments Applications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

A-A KD: Attention and Activation Knowledge Distillation.
Proceedings of the Seventh IEEE International Conference on Multimedia Big Data, 2021

A Synthesizable 0.0060mm<sup>2</sup> VCO-Based Delta Sigma Modulator with Digital Tri-level Feedback Scheme.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2021

Mutli-level Regression Anchor-free Object Detection.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

Intra-array Non-Idealities Modeling and Algorithm Optimization for RRAM-based Computing-in-Memory Applications.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

A High-Efficient and Configurable Hardware Accelerator for Convolutional Neural Network.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

Small Object Detection in Aerial Images.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

A Fine-grained Sparse Neural Network Accelerator for Image Classification.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

A Heterogeneous HEVC Video Encoder System Based on Two-Level CPU-FPGA Computing Architecture.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

A 0.9V Supply 12.5Gb/s LVDS Receiver in 28nm CMOS Process.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

Combining Max Pooling and ReLU Activation Function in Stochastic Computing.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

Arbitrary Style Transfer via Learning to Paint in the Feature Domain.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

An 8Kb 40-nm 2T2MTJ STT-MRAM Design with 2.6ns Access Time and Time-Adjustable Writing Process.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

A Multiplier-less Transform Architecture with the Diagonal Data Mapping Transpose Memory for The AVS3 Standard.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

An Efficient Markov Random Field Based Denoising Approach for Dynamic Vision Sensor.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

Knowledge Distillation for U-Net Based Image Denoising.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

2020
A Power Analysis Attack Resistant Multicore Platform With Effective Randomization Techniques.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Radiation-Hardened 0.3-0.9-V Voltage-Scalable 14T SRAM and Peripheral Circuit in 28-nm Technology for Space Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2020

QNet: An Adaptive Quantization Table Generator Based on Convolutional Neural Network.
IEEE Trans. Image Process., 2020

A Pipelined 2D Transform Architecture Supporting Mixed Block Sizes for the VVC Standard.
IEEE Trans. Circuits Syst. Video Technol., 2020

A High-Performance Stochastic LDPC Decoder Architecture Designed via Correlation Analysis.
IEEE Trans. Circuits Syst., 2020

VPQC: A Domain-Specific Vector Processor for Post-Quantum Cryptography Based on RISC-V Architecture.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

A Robust Hardened Latch Featuring Tolerance to Double-Node-Upset in 28nm CMOS for Spaceborne Application.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

Piezoelectric Energy-Harvesting Interface Using Split-Phase Flipping-Capacitor Rectifier With Capacitor Reuse for Input Power Adaptation.
IEEE J. Solid State Circuits, 2020

A Highly Configurable 7.62GOP/s Hardware Implementation for LSTM.
IEICE Trans. Electron., 2020

A Communication-Aware DNN Accelerator on ImageNet Using In-Memory Entry-Counting Based Algorithm-Circuit-Architecture Co-Design in 65-nm CMOS.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2020

A Convolutional Neural Network-Based Low Complexity Filter.
CoRR, 2020

A 28nm 1.5Mb Embedded 1T2R RRAM with 14.8 Mb/mm<sup>2</sup> using Sneaking Current Suppression and Compensation Techniques.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

A Synthesis Friendly VCO-Based Delta-Sigma ADC with Process Variation Tolerance.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

An Energy Harvesting System with Reconfigurable Piezoelectric Energy Harvester Array for IoT Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Directly Obtaining Matching Points without Keypoints for Image Stitching.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Single Image Dehazing using a Novel Histogram Tranformation Network.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Design Methodology of Clock Polarity Inversion Technique for Frequency Dividers.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A Learning-Based Low Complexity in-Loop Filter for Video Coding.
Proceedings of the 2020 IEEE International Conference on Multimedia & Expo Workshops, 2020

A Hardware Friendly Haze Removal Method and Its Implementation.
Proceedings of the 2020 IEEE International Conference on Consumer Electronics (ICCE), 2020

Exploring a Bayesian Optimization Framework Compatible with Digital Standard Flow for Soft-Error-Tolerant Circuit.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Context Event Features and Event Embedding Enhanced Event Detection.
Proceedings of the ACAI 2020: 3rd International Conference on Algorithms, 2020

2019
A 2-D Predistortion Based on Profile Inversion for Fully Digital Cartesian Transmitter.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Column-Selection-Enabled 10T SRAM Utilizing Shared Diff-VDD Write and Dropped-VDD Read for Power Reduction.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Recursive Synaptic Bit Reuse: An Efficient Way to Increase Memory Capacity in Associative Memory.
IEEE Trans. Very Large Scale Integr. Syst., 2019

A Real-Time and Hardware-Efficient Processor for Skeleton-Based Action Recognition With Lightweight Convolutional Neural Network.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Recursive Binary Neural Network Training Model for Efficient Usage of On-Chip Memory.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A Micro-Code-Based IME Engine for HEVC and Its Hardware Implementation.
IEICE Trans. Electron., 2019

A 24-bit sigma-delta ADC with configurable chopping scheme.
IEICE Electron. Express, 2019

Pixels and Panoramas: An Enhanced Cubic Mapping Scheme for Video\/Image-Based Virtual-Reality Scenes.
IEEE Consumer Electron. Mag., 2019

ManiDec: Manifold Constrained Low-Rank and Sparse Decomposition.
IEEE Access, 2019

A Compact 32-Pixel TU-Oriented and SRAM-Free Intra Prediction VLSI Architecture for HEVC Decoder.
IEEE Access, 2019

A Micro-Code-Based Hardware Architecture of Integer Motion Estimation for HEVC.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

Adaptive CU Split Decision with Pooling-variable CNN for VVC Intra Encoding.
Proceedings of the 2019 IEEE Visual Communications and Image Processing, 2019

Fast QTMT Partition Decision Algorithm in VVC Intra Coding based on Variance and Gradient.
Proceedings of the 2019 IEEE Visual Communications and Image Processing, 2019

A Minimal Adder-oriented 1D DST-VII/DCT-VIII Hardware Implementation for VVC Standard.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

Dual Learning-based Video Coding with Inception Dense Blocks.
Proceedings of the Picture Coding Symposium, 2019

A Piezoelectric Energy-Harvesting Interface Using Split-Phase Flipping-Capacitor Rectifier and Capacitor Reuse Multiple-VCR SC DC-DC Achieving 9.3× Energy-Extraction Improvement.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

A 32-Pixel IDCT-Adapted HEVC Intra Prediction VLSI Architecture.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Acoustic Frequency Division Based on Active Metamaterial: An Experimental Demonstration of Acoustic Frequency Halving.
Proceedings of the IoT as a Service - 5th EAI International Conference, 2019

Bayesian Face Recognition Approach Based on Feature Fusion.
Proceedings of the Advances in Natural Computation, Fuzzy Systems and Knowledge Discovery - Proceedings of the 15th International Conference on Natural Computation, Fuzzy Systems and Knowledge Discovery (ICNC-FSKD 2019), Kunming, China, July 20-22, 2019, 2019

Very Deep Residual Network for Image Matting.
Proceedings of the 2019 IEEE International Conference on Image Processing, 2019

A Skeleton-based Action Recognition System for Medical Condition Detection.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

A 3.01 mm<sup>2</sup> 65.38Gb/s Stochastic LDPC Decoder for IEEE 802.3an in 65 nm.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

A 28nm 512Kb adjacent 2T2R RRAM PUF with interleaved cell mirroring and self-adaptive splitting for extremely low bit error rate of cryptographic key.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

A Hardware-efficient Accelerator for Encoding Stage of Text-to-speech Synthesis.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

Enhanced Recursive Residual Network for Single Image Super-Resolution.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

High throughput multi-code LDPC encoder for CCSDS standard.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

Nonvolatile Binary CNN Accelerator with Extremely Low Standby Power using RRAM for IoT Applications.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

An Orthogonal Algorithm for Key Management in Hardware Obfuscation.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2019

2018
A Hardware-Oriented IME Algorithm for HEVC and Its Hardware Implementation.
IEEE Trans. Circuits Syst. Video Technol., 2018

Countering power analysis attacks by exploiting characteristics of multicore processors.
IEICE Electron. Express, 2018

A 15 W wireless power receiver with an improved full-wave synchronous rectifier.
IEICE Electron. Express, 2018

A single-supply sub-threshold level shifter with an internal supply feedback loop for multi-voltage applications.
IEICE Electron. Express, 2018

Multi-mode Study of Deep Learning Applications in Acoustic Signal Processing.
Proceedings of the 2018 IEEE International Workshop on Signal Processing Systems, 2018

The Hardware Acceleration of SC Decoder for Polar Code towards HLS Optimization.
Proceedings of the International SoC Design Conference, 2018

An Automatic Task Partition Method for Multi-core System.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A Compact and Configurable Long Short-Term Memory Neural Network Hardware Architecture.
Proceedings of the 2018 IEEE International Conference on Image Processing, 2018

MiniTracker: A Lightweight CNN-based System for Visual Object Tracking on Embedded Device.
Proceedings of the 23rd IEEE International Conference on Digital Signal Processing, 2018

A High-Throughput QC-LDPC Decoder for Near-Earth Application.
Proceedings of the 23rd IEEE International Conference on Digital Signal Processing, 2018

Panoramic video delivery based on Laplace compensation and Sphere-Markov probability model.
Proceedings of the IEEE International Conference on Consumer Electronics, 2018

Content adaptive tiling method based on user access preference for streaming panoramic video.
Proceedings of the IEEE International Conference on Consumer Electronics, 2018

Dynamic Task Scheduler for Real Time Requirement in Cloud Computing System.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2018

Nonvolatile Crossbar 2D2R TCAM with Cell Size of 16.3 F<sup>2</sup> and K-means Clustering for Power Reduction.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2018

2017
Sub-threshold level converter with internal supply feedback for multi-voltage applications.
IET Circuits Devices Syst., 2017

A High-Throughput and Compact Hardware Implementation for the Reconstruction Loop in HEVC Intra Encoding.
IEICE Trans. Electron., 2017

A multi-core-based heterogeneous parallel turbo decoder.
IEICE Electron. Express, 2017

Recursive Binary Neural Network Learning Model with 2.28b/Weight Storage Requirement.
CoRR, 2017

Instruction set extension and hardware acceleration for SVM application toward a vector processor.
Proceedings of the International SoC Design Conference, 2017

An efficient spherical video sampling scheme based on Cube model.
Proceedings of the IEEE International Conference on Consumer Electronics, 2017

Extending memory capacity of neural associative memory based on recursive synaptic bit reuse.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

FPGA-based efficient implementation of SURF algorithm.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

Parallel implementations of SHA-3 on a 24-core processor with software and hardware co-design.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

Fp<sup>2</sup> arithmetic acceleration based on modified Barrett modular multiplication algorithm.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

Research of a reconfigurable coarse-grained cryptographic processing unit based on different operation similar structure.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

A high utilization FPGA-based accelerator for variable-scale convolutional neural network.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

A configurable nonlinear operation unit for neural network accelerator.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

Implementation of a pipeline division-free MMSE MIMO detector that support soft-input and soft-output.
Proceedings of the 23rd Asia-Pacific Conference on Communications, 2017

2016
A Combined Deblocking Filter and SAO Hardware Architecture for HEVC.
IEEE Trans. Multim., 2016

Strategies for Reducing Decoding Cycles in Stochastic LDPC Decoders.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

Bit-Interleaving-Enabled 8T SRAM With Shared Data-Aware Write and Reference-Based Sense Amplifier.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

Subthreshold Level Shifter With Self-Controlled Current Limiter by Detecting Output Error.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

An Area-Efficient Error-Resilient Ultralow-Power Subthreshold ECG Processor.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

Analysis and improvement of ramp gain error in single-ramp single-slope ADCs for CMOS image sensors.
Microelectron. J., 2016

Cryptographie coprocessor design for IoT sensor nodes.
Proceedings of the International SoC Design Conference, 2016

Neural network based seizure detection system using raw EEG data.
Proceedings of the International SoC Design Conference, 2016

Quarter LCU based integer motion estimation algorithm for HEVC.
Proceedings of the 2016 IEEE International Conference on Image Processing, 2016

Convergence-optimized variable node structure for stochastic LDPC decoder.
Proceedings of the 2016 IEEE International Conference on Acoustics, 2016

A low-cost and energy-efficient EEG processor for continuous seizure detection using wavelet transform and AdaBoost.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

2015
Design and Analysis of Highly Energy/Area-Efficient Multiported Register Files With Read Word-Line Sharing Strategy in 65-nm CMOS Process.
IEEE Trans. Very Large Scale Integr. Syst., 2015

A 65 nm Cryptographic Processor for High Speed Pairing Computation.
IEEE Trans. Very Large Scale Integr. Syst., 2015

In-Block Prediction-Based Mixed Lossy and Lossless Reference Frame Recompression for Next-Generation Video Encoding.
IEEE Trans. Circuits Syst. Video Technol., 2015

An Energy-Efficient Design for ECG Recording and R-Peak Detection Based on Wavelet Transform.
IEEE Trans. Circuits Syst. II Express Briefs, 2015

A Heterogeneous Multicore Crypto-Processor With Flexible Long-Word-Length Computation.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

A Parallel-Access Mapping Method for the Data Exchange Buffers Around DCT/IDCT in HEVC Encoders Based on Single-Port SRAMs.
IEEE Trans. Circuits Syst. II Express Briefs, 2015

A 1.5-D Multi-Channel EEG Compression Algorithm Based on NLSPIHT.
IEEE Signal Process. Lett., 2015

A low cost architecture for high performance face detection.
Microprocess. Microsystems, 2015

Non-binary digital calibration for split-capacitor DAC in SAR ADC.
IEICE Electron. Express, 2015

A High-Throughput Processor for Dual-Field Elliptic Curve Cryptography with Power Analysis Resistance.
Proceedings of the 2015 IEEE 12th Intl Conf on Ubiquitous Intelligence and Computing and 2015 IEEE 12th Intl Conf on Autonomic and Trusted Computing and 2015 IEEE 15th Intl Conf on Scalable Computing and Communications and Its Associated Workshops (UIC-ATC-ScalCom), 2015

EM independent Gaussian approximate message passing and its application in OFDM impulsive noise mitigation.
Proceedings of the 28th IEEE International System-on-Chip Conference, 2015

An implementation of turbo equalization using cyclic prefix in LTE downlink system.
Proceedings of the 2015 IEEE Workshop on Signal Processing Systems, 2015

Latency-optimized stochastic LDPC decoder for high-throughput applications.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A high-throughput HEVC deblocking filter VLSI architecture for 8k×4k application.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

An area-efficient architecture for stochastic LDPC decoder.
Proceedings of the 2015 IEEE International Conference on Digital Signal Processing, 2015

Iterative disparity voting based stereo matching algorithm and its hardware implementation.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

A configurable SoC design for information security.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

A low-cost SoC implementation of AES algorithm for bio-signals.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

Energy-efficient sub-threshold level shifter.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

Parallel implementation of AES on 2.5D multicore platform with hardware and software co-design.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

A SIMD multiplier-accumulator design for pairing cryptography.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

Motion artifact removal based on ICA for ambulatory ECG monitoring.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

A lifting-based 2-D discrete wavelet transform architecture for data compression of bio-potential signals.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

A flexible HEVC intra mode decision hardware for 8kx4k real time encoder.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

OFDM synchronization implementation based on Chisel platform for 5G research.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

A SRAM-saving two-stage storage strategy for the coefficients memories in HEVC encoders.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

Exploration for energy-efficient ECC decoder of WBAN.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

2014
Single-Port SRAM-Based Transpose Memory With Diagonal Data Mapping for Large Size 2-D DCT/IDCT.
IEEE Trans. Very Large Scale Integr. Syst., 2014

An Efficient Implementation of Montgomery Multiplication on Multicore Platform With Optimized Algorithm, Task Partitioning, and Network Architecture.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Low-Power Multicore Processor Design With Reconfigurable Same-Instruction Multiple Process.
IEEE Trans. Circuits Syst. II Express Briefs, 2014

A 16-Core Processor With Shared-Memory and Message-Passing Communications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

An Efficient Multirate LDPC-CC Decoder With a Layered Decoding Algorithm for the IEEE 1901 Standard.
IEEE Trans. Circuits Syst. II Express Briefs, 2014

Analysis of a read disturb-free 9T SRAM cell with bit-interleaving capability.
Microelectron. J., 2014

An area-efficient dual replica-bitline delay technique for process-variation-tolerant low voltage SRAM sense amplifier timing.
IEICE Electron. Express, 2014

A hardware-friendly method for rate-distortion optimization of HEVC intra coding.
Proceedings of the Technical Papers of 2014 International Symposium on VLSI Design, 2014

Acceleration of Naive-Bayes algorithm on multicore processor for massive text classification.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014

An error-resilient wavelet-based ECG processor under voltage overscaling.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

2013
Parallelization of Radix-2 Montgomery Multiplication on Multicore Platform.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Accurate Sampling Timing Acquisition for Baseband OFDM Power-Line Communication in Non-Gaussian Noise.
IEEE Trans. Commun., 2013

An Ultra-Low Power QRS Complex Detection Algorithm Based on Down-Sampling Wavelet Transform.
IEEE Signal Process. Lett., 2013

A 960 μW 10-bit 70-MS/s SAR ADC with an energy-efficient capacitor-switching scheme.
Microelectron. J., 2013

A Unified Forward/Inverse Transform Architecture for Multi-Standard Video Codec Design.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

A 1.5 Gb/s Highly Parallel Turbo Decoder for 3GPP LTE/LTE-Advanced.
IEICE Trans. Commun., 2013

A pipelined VLSI architecture for Sample Adaptive Offset (SAO) filter and deblocking filter of HEVC.
IEICE Electron. Express, 2013

Robustness Analysis of Mesh-Based Network-on-Chip Architecture under Flooding-Based Denial of Service Attacks.
Proceedings of the IEEE Eighth International Conference on Networking, 2013

Fine residual carrier frequency and sampling frequency estimation in wireless OFDM systems.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

Algorithm and VLSI architecture of channel estimation impaired by impulsive noise in PLC.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

A 65nm 39GOPS/W 24-core processor with 11Tb/s/W packet-controlled circuit-switched double-layer network-on-chip and heterogeneous execution array.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

A low power register file with asynchronously controlled read-isolation and software-directed write-discarding.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

A 3.4dB NF k-band LNA in 65nm CMOS technology.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

A split-capacitor vcm-based capacitor-switching scheme for low-power SAR ADCs.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

A high-throughput VLSI architecture for deblocking filter in HEVC.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Time-Division-Multiplexer based routing algorithm for NoC system.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Implementation and optimization of 3780-point FFT on multi-core system.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

An efficient multi-rate LDPC-CC decoder with layered decoding algorithm.
Proceedings of IEEE International Conference on Communications, 2013

A 920MHz quad-core cryptography processor accelerating parallel task processing of public-key algorithms.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

A highly energy-efficient compressed sensing encoder with robust subthreshold clockless pipeline for wireless BANs.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013

H.264 video parallel decoder on a 24-core processor.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

A 2D mesh NoC with self-configurable and shared-FIFOs routers.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

MCVP-NoC: Many-Core Virtual Platform with Networks-on-Chip support.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

A turbo decoder implementation for LTE downlink mapped on a multi-core processor platform.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

Efficient implementation of 3780-point FFT on a 16-core processor.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

Low power design for FIR filter.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

A high-throughput LDPC decoder for optical communication.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

A fast multi-core virtual platform and its application on software development.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

A 1.8-V 14-bit inverter-based incremental ΣΔ ADC for CMOS image sensor.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

An extensible and real-time compressive sensing reconstruction hardware for WBANs using OMP.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

A fast 8×8 IDCT algorithm for HEVC.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

A highly pipelined VLSI architecture for all modes and block sizes intra prediction in HEVC encoder.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

A hybrid router combining circuit switching and packet switching with virtual channels for on-chip networks.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

Highly flexible WBAN transmit-receive system based on USRP.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

Design of a high throughput configurable variable-length FFT processor based on switch network architecture.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

Positionable wearable fall detection system for elderly assisted living applications.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

An ultra low-power and area-efficient baseband processor for WBAN transmitter.
Proceedings of the Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, 2013

A hardware-efficient variable-length FFT processor for low-power applications.
Proceedings of the Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, 2013

2012
An Area-Efficient Reconfigurable LDPC Decoder with Conflict Resolution.
IEICE Trans. Electron., 2012

A High Speed Reconfigurable Face Detection Architecture Based on AdaBoost Cascade Algorithm.
IEICE Trans. Inf. Syst., 2012

Design Approach and Implementation of Application Specific Instruction Set Processor for SHA-3 BLAKE Algorithm.
IEICE Trans. Electron., 2012

A 64 Cycles/MB, Luma-Chroma Parallelized H.264/AVC Deblocking Filter for 4 K × 2 K Applications.
IEICE Trans. Electron., 2012

A Flexible LDPC Decoder Architecture Supporting TPMP and TDMP Decoding Algorithms.
IEICE Trans. Inf. Syst., 2012

A Fully Programmable Reed-Solomon Decoder on a Multi-Core Processor Platform.
IEICE Trans. Inf. Syst., 2012

An 8 × 4 Adaptive Hadamard Transform Based FME VLSI Architecture for 4 K × 2 K H.264/AVC Encoder.
IEICE Trans. Electron., 2012

Efficient Implementation of OFDM Inner Receiver on a Programmable Multi-Core Processor Platform.
IEICE Trans. Commun., 2012

A Flexible Architecture for TURBO and LDPC Codes.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2012

A 64×32bit 4-read 2-write low power and area efficient register file in 65nm CMOS.
IEICE Electron. Express, 2012

Fine Residual Carrier Frequency and Sampling Frequency Estimation in Wireless OFDM Systems
CoRR, 2012

A Low Complexity Macroblock Layer Rate Control Scheme Base on Weighted-Window for H.264 Encoder.
Proceedings of the Advances in Multimedia Modeling - 18th International Conference, 2012

An 800MHz 320mW 16-core processor with message-passing and shared-memory inter-core communication mechanisms.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

A low-cost architecture for multi-mode Reed-Solomon decoder.
Proceedings of the International SoC Design Conference, 2012

A multi-core mapping implementation of 3780-point FFT.
Proceedings of the International SoC Design Conference, 2012

Task-binding based branch-and-bound algorithm for NoC mapping.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A parallel CAVLC design for 4096×2160p encoder.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A pure software ldpc decoder on a multi-core processor platform with reduced inter-processor communication cost.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Evaluating performance of manycore processors with various granularities considering yield and lifetime reliability.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

An improved coarse synchronization scheme in 3GPP LTE downlink OFDM systems.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A Unified 4/8/16/32-Point Integer IDCT Architecture for Multiple Video Coding Standards.
Proceedings of the 2012 IEEE International Conference on Multimedia and Expo, 2012

A 60mW baseband SoC for CMMB receiver.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

A 16-pixel parallel architecture with block-level/mode-level co-reordering approach for intra prediction in 4k×2k H.264/AVC video encoder.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

A single-routing layered LDPC decoder for 10Gbase-T Ethernet in 130nm CMOS.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

A low power ASIP for precision configurable FFT processing.
Proceedings of the Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, 2012

2011
An 847-955 Mb/s 342-397 mW Dual-Path Fully-Overlapped QC-LDPC Decoder for WiMAX System in 0.13 μ m CMOS.
IEEE J. Solid State Circuits, 2011

Efficient Iterative Frequency Domain Equalization for Single Carrier System with Insufficient Cyclic Prefix.
IEICE Trans. Commun., 2011

A Scalable and Reconfigurable Fault-Tolerant Distributed Routing Algorithm for NoCs.
IEICE Trans. Inf. Syst., 2011

Optimized 2-D SAD Tree Architecture of Integer Motion Estimation for H.264/AVC.
IEICE Trans. Electron., 2011

A 4-way parallel CAVLC design for H.264/AVC 4Kx2K 60fps encoder.
IEICE Electron. Express, 2011

MUX-MCM based quantization VLSI architecture for H.264/AVC high profile encoder.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

A full-mode FME VLSI architecture based on 8×8/4×4 adaptive Hadamard Transform for QFHD H.264/AVC encoder.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

A common flexible architecture for Turbo/LDPC codes.
Proceedings of the International SoC Design Conference, 2011

Flexible and efficient FEC decoders supporting multiple transmission standards.
Proceedings of the International SoC Design Conference, 2011

Fault tolerant computing for stream DSP applications using GALS multi-core processors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

A channel estimation scheme for Chinese DTTB system combating long echo and high doppler shift.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

A reconfigurable and deadlock-free routing algorithm for 2D Mesh Network-on-Chip.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

A 4.32 mm<sup>2</sup> 170mW LDPC decoder in 0.13μm CMOS for WiMax/Wi-Fi applications.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

A high speed reconfigurable face detection architecture.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

An optimized mapping algorithm based on Simulated Annealing for regular NoC architecture.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

A two-way parallel CAVLC encoder for 4K×2K H.264/AVC.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

A low power 1.0 GHz VCO in 65nm-CMOS LP-process.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

Modified Minimal-Connected-Component fault block model to deal with defective links and nodes for 2D-mesh NoCs.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

Design of a single-ended cell based 65nm 32×32b 4R2W register file.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

A security processor based on MIPS 4KE architecture.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

A method of quadratic programming for mapping on NoC architecture.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

A hardware/software co-design approach for multiple-standard video bitstream parsing.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

A low power 10-bit 100-MS/s SAR ADC in 65nm CMOS.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

Analysis of adaptive support-weight based stereo matching for hardware realization.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

A multi-mode 1-V DAC+filter in 65-nm CMOS for reconfigurable (GSM, TD-SCDMA and WCDMA) transmitters.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

A NoC-based multi-core architecture for IEEE 802.11i CCMP.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

A channel estimator for LTE downlink mapped on a multi-core processor platform.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

A control scheme for a 65nm 32×32b 4-read 2-write register file.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

A robust frame synchronization scheme for Broadband Power-line Communication.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

Modeling of a double-sampling switched-capacitor bandpass delta-sigma modulator for multi-standard applications.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

An area-Efficient LDPC decoder for multi-standard with conflict resolution.
Proceedings of the 22nd IEEE International Conference on Application-specific Systems, 2011

2010
An Area-Efficient and Low-Power Multirate Decoder for Quasi-Cyclic Low-Density Parity-Check Codes.
IEEE Trans. Very Large Scale Integr. Syst., 2010

An efficient iterative frequency domain equalization for ATSC DTV receiver.
IEEE Trans. Consumer Electron., 2010

Low cost VLSI architecture of resisting long echo channel estimation for DTMB system.
IEEE Trans. Consumer Electron., 2010

Programmable Architecture for Flexi-Mode QC-LDPC Decoder Supporting Wireless LAN/MAN Applications and Beyond.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

A Cost-Efficient LDPC Decoder for DVB-S2 with the Solution to Address Conflict Issue.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

Optimized digital automatic gain control for DVB-S2 system.
Proceedings of the 2010 Wireless Telecommunications Symposium, 2010

Robust and reliable frame synchronization method for DVB-S2 system.
Proceedings of the 2010 Wireless Telecommunications Symposium, 2010

A scalable and fault-tolerant routing algorithm for NoCs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A 128/256-point pipeline FFT/IFFT processor for MIMO OFDM system IEEE 802.16e.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A flexible LDPC decoder architecture supporting two decoding algorithms.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A fully-overlapped multi-mode QC-LDPC decoder architecture for mobile WiMAX applications.
Proceedings of the 21st IEEE International Conference on Application-specific Systems Architectures and Processors, 2010

2009
A multi-task-oriented security processing architecture with powerful extensibility.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
Low-cost reconfigurable VLSI architecture for fast fourier transform.
IEEE Trans. Consumer Electron., 2008

A 1.8-V 22-mW 10-bit 30-MS/s Pipelined CMOS ADC for Low-Power Subsampling Applications.
IEEE J. Solid State Circuits, 2008

Low-complexity two-stage timing acquisition scheme for UWB communications.
Proceedings of the Wireless Telecommunications Symposium, 2008

Tracking loop for IR-UWB communications in IEEE 802.15 multi-path channels.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Optimal frame synchronization for DVB-S2.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A full-custom design of AES SubByte module with signal independent power consumption.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A low-cost cryptographic processor for security embedded system.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Robust Timing and Frequency Synchronization Scheme for DTMB System.
IEEE Trans. Consumer Electron., 2007

A Novel Five-Point Algorithm of Phase Noise Cancellation in DTMB.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007

Two-dimensional Parity-based Concurrent Error Detection Method for AES Algorithm against Differential Fault Attack and its VLSI Implementation.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2007

An Energy-Proportion Synchronization Method for IR-UWB Communications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

A Low-cost and High-performance SoC Design for OMA DRM2 Applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

2006
Adaptive bandwidth PLL with compact current mode filter.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A new dual-field elliptic curve cryptography processor.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A new low cost and reconfigurable RSA crypto-processor.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A modified high-radix scalable Montgomery multiplier.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A 1.8-V 22-mW 10-bit 30-MS/s Subsampling Pipelined CMOS ADC.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006

A high-performance platform-based SoC for information security.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
VLSI design of a high-speed RAS crypto-processor with reconfigurable architecture.
Proceedings of the Eighth International Symposium on Signal Processing and Its Applications, 2005

A 10BIT 30MSPS CMOS A/D converter for high performance video applications.
Proceedings of the 31st European Solid-State Circuits Conference, 2005


  Loading...