Pengjun Wang

Orcid: 0000-0002-1461-3719

According to our database1, Pengjun Wang authored at least 96 papers between 2007 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Awards

IEEE Fellow

IEEE Fellow 2016, "For contributions to scheduling and resource allocation in wireless networks".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
SI PUF: An SRAM and Inverter-Based PUF With a Bit Error Rate of 0.0053% and 0.073/0.042 pJ/bit.
IEEE Trans. Circuits Syst. II Express Briefs, April, 2024

Bagua Protocol: A Whole-Process Configurable Protocol for IoT Sensing Devices Security Based on Strong PUF.
IEEE Internet Things J., January, 2024

2023
Machine learning attacks resistant strong PUF design utilizing response obfuscates challenge with lower hardware overhead.
Microelectron. J., December, 2023

Profiling side-channel attacks based on CNN model fusion.
Microelectron. J., September, 2023

Design of a Novel Self-Test-on-Chip Interface ASIC for Capacitive Accelerometers.
IEEE Trans. Circuits Syst. I Regul. Pap., July, 2023

Design architecture and algorithm of wireless network integrated circuit based on 5G+AI.
Phys. Commun., June, 2023

A Perception-Aware Decomposition and Fusion Framework for Underwater Image Enhancement.
IEEE Trans. Circuits Syst. Video Technol., March, 2023

A lightweight convolutional neural network hardware implementation for wearable heart rate anomaly detection.
Comput. Biol. Medicine, March, 2023

PI PUF: A Processor-Intrinsic PUF for IoT.
Comput. Electr. Eng., January, 2023

A challenge-screening strategy for enhancing the stability of strong PUF based on machine learning.
Microelectron. J., 2023

A greedy algorithm based Compensation Circuit for Optimizing the Output Statistics of APUF.
Microelectron. J., 2023

Convolutional neural network-based lightweight hardware IP core design for EEG epilepsy prediction.
Microelectron. J., 2023

A highly stable XOR APUF based on deviation signal screening mechanism.
IEICE Electron. Express, 2023

Boosted crow search algorithm for handling multi-threshold image problems with application to X-ray images of COVID-19.
Expert Syst. Appl., 2023

A pseudo-reversible normalizing flow for stochastic dynamical systems with various initial distributions.
CoRR, 2023

A 7nm-Based Decodable Self-Resetting Regfile Circuit.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

Full-custom Design of Improved Carry Adder Circuit for CLBs.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

Design of PUF Circuit Based on Charge Leakage of Cascade Dynamic Gate.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

An Efficient Hash Computing Unit for Kyber Algorithm.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

High-Performance Rejection Sampling Hardware Circuit Design for Kyber.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

An Architecture of a Single-Event Tolerant D Flip-flop Using Full-Custom Design in 28nm Process.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

Ternary Multiply-Accumulate Circuit Based on Domino Structure.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

Highly Reliable Physical Unclonable Function Based on ZnO-SnO2 Gas Sensor.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

A Compact Weak PUF Circuit Based on Random Process Deviations of Amplifier Chain.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2023

2022
Spiral Gaussian mutation sine cosine algorithm: Framework and comprehensive performance optimization.
Expert Syst. Appl., December, 2022

An ACF.
Microelectron. J., 2022

A configurable detection chip with ±0.6% Inaccuracy for liquid conductivity using dual-frequency sinusoidal signal technique in 65 nm CMOS.
Microelectron. J., 2022

Exponential discrete gradient schemes for a class of stochastic differential equations.
J. Comput. Appl. Math., 2022

TVD-PB logic circuit based on camouflaging circuit for IoT security.
IET Circuits Devices Syst., 2022

A compact weak PUF circuit based on MOSFET subthreshold leakage current.
IEICE Electron. Express, 2022

Elite dominance scheme ingrained adaptive salp swarm algorithm: a comprehensive study.
Eng. Comput., 2022

An enhanced Cauchy mutation grasshopper optimization with trigonometric substitution: engineering design and feature selection.
Eng. Comput., 2022

Adaptive Harris hawks optimization with persistent trigonometric differences for photovoltaic model parameter extraction.
Eng. Appl. Artif. Intell., 2022

A 65nm/0.448 mW EEG processor with parallel architecture SVM and lifting wavelet transform for high-performance and low-power epilepsy detection.
Comput. Biol. Medicine, 2022

Sparsity Winning Twice: Better Robust Generalization from More Efficient Training.
Proceedings of the Tenth International Conference on Learning Representations, 2022

A Novel Machine Learning Attack Resistant APUF with Dual-Edge Acquisition.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2022

2021
A Multimode Configurable Physically Unclonable Function With Bit-Instability-Screening and Power-Gating Strategies.
IEEE Trans. Very Large Scale Integr. Syst., 2021

SPUF design based on Camellia encryption algorithm.
Microelectron. J., 2021

Dimension decided Harris hawks optimization with Gaussian mutation: Balance analysis and diversity patterns.
Knowl. Based Syst., 2021

A bioinformatic variant fruit fly optimizer for tackling optimization problems.
Knowl. Based Syst., 2021

A 0.004% resolution & SAT.
Integr., 2021

Orthogonal obfuscation based key management for multiple IP protection.
Integr., 2021

Multi-core sine cosine optimization: Methods and inclusive analysis.
Expert Syst. Appl., 2021

Multilevel threshold image segmentation with diffusion association slime mould algorithm and Renyi's entropy for chronic obstructive pulmonary disease.
Comput. Biol. Medicine, 2021

Performance optimization of salp swarm algorithm for multi-threshold image segmentation: Comprehensive study of breast cancer microscopy.
Comput. Biol. Medicine, 2021

Matrix Encryption based Anti-Machine Learning Attack Algorithm for Strong PUF.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

A Reliable Multi-information Entropy Glitch PUF Using Schmitt Trigger Sampling Method for IoT Security.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

A 65nm Reliable Near-Subthreshold Standard Cells Design Using Schmitt Trigger.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

2020
Radiation-Hardened, Read-Disturbance-Free New-Quatro-10T Memory Cell for Aerospace Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2020

A 215-F² Bistable Physically Unclonable Function With an ACF of <0.005 and a Native Bit Instability of 2.05% in 65-nm CMOS Process.
IEEE Trans. Very Large Scale Integr. Syst., 2020

A Low Cost MST-FSM Obfuscation Method for Hardware IP Protection.
J. Circuits Syst. Comput., 2020

Boosted hunting-based fruit fly optimization and advances in real-world problems.
Expert Syst. Appl., 2020

Rationalized fruit fly optimization with sine cosine algorithm: A comprehensive analysis.
Expert Syst. Appl., 2020

Efficient multi-population outpost fruit fly-driven optimizers: Framework and advances in support vector machines.
Expert Syst. Appl., 2020

2019
A 0.1-pJ/b and ACF <0.04 Multiple-Valued PUF for Chip Identification Using Bit-Line Sharing Strategy in 65-nm CMOS.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Design of anti-key leakage camouflage gate circuit for reverse engineering based on dummy vias.
Microelectron. J., 2019

An improved KFDD based reversible circuit synthesis method.
Integr., 2019

Chaos-Induced and Mutation-Driven Schemes Boosting Salp Chains-Inspired Optimizers.
IEEE Access, 2019

A New Hybrid Machine Learning Approach for Prediction of Phenanthrene Toxicity on Mice.
IEEE Access, 2019

A New Kernel Extreme Learning Machine Framework for Somatization Disorder Diagnosis.
IEEE Access, 2019

Design of Crosstalk NAND Gate Circuit Based on Interconnect Coupling Capacitance.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

Design of Aging Detection Sensor Based on Voltage Comparison.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

A High-speed Dynamic Domino Full Adder Based on DICG Positive Feedback.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

An Obfuscated Challenge Design for APUF to Resist Machine Learning Attacks.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

An Orthogonal Algorithm for Key Management in Hardware Obfuscation.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2019

2018
Simplification of sub-gap density of states extraction method for amorphous In-Ga-Zn-O thin-film transistors by a single capacitance-voltage curve.
Microelectron. Reliab., 2018

An ultra-low power multiplier using multi-valued adiabatic logic in 65 nm CMOS process.
Microelectron. J., 2018

High performance bistable weak physical unclonable function for IoT security.
IEICE Electron. Express, 2018

Hu-Fu: Hardware and Software Collaborative Attack Framework Against Neural Networks.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Blind Drift Calibration of Sensor Networks Using Multi-Output Gaussian Process.
Proceedings of the 2018 IEEE SENSORS, New Delhi, India, October 28-31, 2018, 2018

2017
A multi-port low-power current mode PUF using MOSFET current-division deviation in 65 nm technology.
Microelectron. J., 2017

A Deep Learning Approach for Blind Drift Calibration of Sensor Networks.
CoRR, 2017

Delay and area optimization for FPRM circuits based on MSPSO algorithm.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

A highly reliable lightweight PUF circuit with temperature and voltage compensated for secure chip identification.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

Design of ternary pulsed reversible counter based on CNFET.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
Corrigendum to "A subgap density of states modeling for the transient characteristics in oxide-based thin-film transistors" [Microelectron. Reliab. 60 (2016) 67-69].
Microelectron. Reliab., 2016

2015
Blind drift calibration of sensor networks using signal space projection and Kalman filter.
Proceedings of the Tenth IEEE International Conference on Intelligent Sensors, 2015

Design of power-up and arbiter hybrid physical unclonable functions in 65nm CMOS.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

2014
A blind audio watermarking algorithm by logarithmic quantization index modulation.
Multim. Tools Appl., 2014

Design of threshold dominant delay Physical Unclonable Functions in 65nm CMOS.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014

2013
A norm-space, adaptive, and blind audio watermarking algorithm by discrete wavelet transform.
Signal Process., 2013

Architecture and Physical Implementation of Reconfigurable Multi-Port Physical Unclonable Functions in 65 nm CMOS.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

Highly stable data SRAM-PUF in 65nm CMOS process.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

Best polarity searching for ternary FPRM logic circuit area based on whole annealing genetic algorithm.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

2012
Design of a high information-density multiple valued 2-read 1-write register file.
IEICE Electron. Express, 2012

Application specific sensor node architecture optimization - Experiences from field deployments.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
Efficient construction of irregular codes with midterm block length and near-shannon performance.
IET Commun., 2011

Design of resistant DPA three-valued counter based on SABL.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

Polarity optimization of XNOR/OR circuit area and power based on weighted sum method.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

Improvement of adiabatic domino circuits and its application in multi-valued circuits.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

A novel Differential fault analysis on AES-128.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

Design of 2-3 mixed-valued/six-valued adiabatic asynchronous up-down counter.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

2010
MPRM expressions minimization based on simulated annealing genetic algorithm.
Proceedings of the 2010 IEEE International Conference on Intelligent Systems and Knowledge Engineering, 2010

Low Complexity Decoding Algorithm of QC-LDPC Code.
Proceedings of the 5th IEEE Asia-Pacific Services Computing Conference, 2010

Design of turbo decoder based on Min-Sum decoding algorithm of LDPC code.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

2007
DRM - the Digital Radio on the Way.
Proceedings of the 12th IEEE Symposium on Computers and Communications (ISCC 2007), 2007


  Loading...