Marcelo Ruaro

Orcid: 0000-0001-5995-435X

According to our database1, Marcelo Ruaro authored at least 31 papers between 2012 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
The Last-Level-Cache Interference in Guest Performance: a Case-Study with Zephyr OS.
Proceedings of the 26th Euromicro Conference on Digital System Design, 2023

2022
The Impact of Cache and Dynamic Memory Management in Static Dataflow Applications.
J. Signal Process. Syst., 2022

Non-intrusive Monitoring Framework for NoC-based Many-Cores.
Proceedings of the XII Brazilian Symposium on Computing Systems Engineering, 2022

Mitigating Transceiver and Token Controller Permanent Faults in Wireless Network-on-Chip.
Proceedings of the 30th Euromicro International Conference on Parallel, 2022

Leveraging NoC-based Many-core Performance Through Runtime Mapping Defragmentation.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

ManyGUI: A Graphical Tool to Accelerate Many-core Debugging Through Communication, Memory, and Energy Profiling.
Proceedings of the DroneSE and RAPIDO '22: System Engineering for constrained embedded systems, Budapest Hungary, January 17, 2022

2021
Dynamic Mapping for Many-cores using Management Application Organization.
Proceedings of the 28th IEEE International Conference on Electronics, 2021

2020
Modular and Distributed Management of Many-Core SoCs.
ACM Trans. Comput. Syst., 2020

SDN-Based Secure Application Admission and Execution for Many-Cores.
IEEE Access, 2020

A Systemic and Secure SDN Framework for NoC-Based Many-Cores.
IEEE Access, 2020

Multiple-objective Management based on a Distributed SDN Architecture for Many-cores.
Proceedings of the 33rd Symposium on Integrated Circuits and Systems Design, 2020

2019
Self-Adaptive QoS Management of Computation and Communication Resources in Many-Core SoCs.
ACM Trans. Embed. Comput. Syst., 2019

Memphis: a framework for heterogeneous many-core SoCs generation and validation.
Des. Autom. Embed. Syst., 2019

Distributed SDN architecture for NoC-based many-core SoCs.
Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip, 2019

A Framework for Heterogeneous Many-core SoCs Generation.
Proceedings of the 10th IEEE Latin American Symposium on Circuits & Systems, 2019

2018
Software-Defined Networking Architecture for NoC-based Many-Cores.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

2017
Distributed Runtime Energy Management for Many-Core Systems Running Real-Time Applications.
J. Low Power Electron., 2017

System management recovery protocol for MPSoCs.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

SDN-Based Circuit-Switching for Many-Cores.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

Demystifying the cost of task migration in distributed memory many-core systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Runtime energy management under real-time constraints in MPSoCs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

2016
DMNI: A specialized network interface for NoC-based MPSoCs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

A data extraction and debugging framework for large-scale MPSoCs.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

Dynamic Real-Time Scheduler for Large-Scale MPSoCs.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

2015
Runtime Adaptive Circuit Switching and Flow Priority in NoC-Based MPSoCs.
IEEE Trans. Very Large Scale Integr. Syst., 2015

A hierarchical LST-based task scheduler for NoC-based MPSoCs with slack-time monitoring support.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

Hierarchical energy monitoring for many-core systems.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

2014
Runtime QoS Support for MPSoC: a Processor Centric Approach.
Proceedings of the 27th Symposium on Integrated Circuits and Systems Design, 2014

Tool-set for NoC-based MPSoC debugging - A protocol view perspective.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

2013
Adaptive QoS techniques for NoC-based MPSoCs.
Proceedings of the 2013 International Symposium on System on Chip, 2013

2012
Evaluation of adaptive management techniques in NoC-Based MPSoCs.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012


  Loading...