Pei-Yu Huang

Orcid: 0009-0005-3344-5932

According to our database1, Pei-Yu Huang authored at least 19 papers between 2006 and 2022.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Approach to Predicting News - A Precise Multi-LSTM Network With BERT.
CoRR, 2022

Headline Diagnosis: Manipulation of Content Farm Headlines.
CoRR, 2022

Building Cybersecurity Ontology for Understanding and Reasoning Adversary Tactics and Techniques.
Proceedings of the IEEE International Conference on Big Data, 2022

2020
Automatic Parameter Setting in Hough Circle Transform.
Proceedings of the Intelligent Information and Database Systems - 12th Asian Conference, 2020

2019
Using Feature Selection to Improve Performance of Three-Tier Intrusion Detection System.
Proceedings of the Web, Artificial Intelligence and Network Applications, 2019

2015
LUTSim: A Look-Up Table-Based Thermal Simulator for 3-D ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

2013
An efficient method for analyzing on-chip thermal reliability considering process variations.
ACM Trans. Design Autom. Electr. Syst., 2013

NUMANA: a hybrid <u>num</u>erical and <u>ana</u>lytical thermal simulator for 3-D ICs.
Proceedings of the Design, Automation and Test in Europe, 2013

I-LUTSim: An iterative look-up table based thermal simulator for 3-D ICs.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
On-chip statistical hot-spot estimation using mixed-mesh statistical polynomial expression generating and skew-normal based moment matching techniques.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
A Web Application for Making Mosaic Art Images.
Proceedings of the WEBIST 2011, 2011

2010
Statistical electro-thermal analysis with high compatibility of leakage power models.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010

2009
Full-Chip Thermal Analysis for the Early Design Stage via Generalized Integral Transforms.
IEEE Trans. Very Large Scale Integr. Syst., 2009

A multiple supply voltage based power reduction method in 3-D ICs considering process variations and thermal effects.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

Stochastic thermal simulation considering spatial correlated within-die process variations.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
Full-chip thermal analysis for the early design stage via generalized integral transforms.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Hierarchical power delivery network analysis using Markov chains.
Proceedings of the 2007 IEEE International SOC Conference, 2007

An Aggregation-Based Algebraic Multigrid Method for Power Grid Analysis.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

2006
Simultaneous area minimization and decaps insertion for power delivery network using adjoint sensitivity analysis with IEKS method.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006


  Loading...