Jeng-Liang Tsai

According to our database1, Jeng-Liang Tsai authored at least 13 papers between 2003 and 2006.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2006
Temperature-Aware Placement for SOCs.
Proc. IEEE, 2006

Simultaneous area minimization and decaps insertion for power delivery network using adjoint sensitivity analysis with IEKS method.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Convergence-provable statistical timing analysis with level-sensitive latches and feedback loops.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Yield-Driven, False-Path-Aware Clock Skew Scheduling.
IEEE Des. Test Comput., 2005

False Path and Clock Scheduling Based Yield-Aware Gate Sizing.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Statistical timing analysis driven post-silicon-tunable clock-tree synthesis.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

Process-variation robust and low-power zero-skew buffered clock-tree synthesis using projected scan-line sampling.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Sensitivity guided net weighting for placement driven synthesis.
Proceedings of the 2004 International Symposium on Physical Design, 2004

A yield improvement methodology using pre- and post-silicon statistical clock scheduling.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

HiSIM: hierarchical interconnect-centric circuit simulator.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Thermal and Power Integrity Based Power/Ground Networks Optimization.
Proceedings of the 2004 Design, 2004

2003
Optimal minimum-delay/area zero-skew clock tree wire-sizing in pseudo-polynomial time.
Proceedings of the 2003 International Symposium on Physical Design, 2003


  Loading...