Shuhei Amakawa

Orcid: 0000-0002-1596-6604

According to our database1, Shuhei Amakawa authored at least 39 papers between 2007 and 2024.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
25.9-Gb/s 259-GHz Phased-Array CMOS Receiver Module with 28° Steering Range.
Proceedings of the IEEE Radio and Wireless Symposium, 2024

2023
A 0.6-V 41.3-GHz Power-Scalable Sub-Sampling PLL in 55-nm CMOS DDC.
IEICE Trans. Electron., October, 2023

A 58-%-Lock-Range Divide-by-9 Injection-Locked Frequency Divider Using Harmonic-Control Technique.
IEICE Trans. Electron., October, 2023

On-chip transmission lines for silicon CMOS 6G: From basics to open questions.
Proceedings of the International Conference on IC Design and Technology, 2023

A 2D Beam-Steerable 252-285-GHz 25.8-Gbit/s CMOS Receiver Module.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2023

2022
A 0.4-V 29-GHz-Bandwidth Power-Scalable Distributed Amplifier in 55-nm CMOS DDC Process.
IEICE Trans. Electron., October, 2022

A 76-Gbit/s 265-GHz CMOS Receiver With WR-3.4 Waveguide Interface.
IEEE J. Solid State Circuits, 2022

254-GHz-to-299-GHz Down Conversion Mixer Using 45nm SOI CMOS.
Proceedings of the 65th IEEE International Midwest Symposium on Circuits and Systems, 2022

2021
300-GHz-Band OFDM Video Transmission with CMOS TX/RX Modules and 40dBi Cassegrain Antenna toward 6G.
IEICE Trans. Electron., 2021

Session 23 Overview: THz Circuits and Front-Ends Rf Subcommittee.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A 272-GHz CMOS Analog BPSK/QPSK Demodulator for IEEE 802.15.3d.
Proceedings of the 47th ESSCIRC 2021, 2021


2019
An 80-Gb/s 300-GHz-Band Single-Chip CMOS Transceiver.
IEEE J. Solid State Circuits, 2019

An 80Gb/s 300GHz-Band Single-Chip CMOS Transceiver.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

Wideband Power-Line Decoupling Technique for Millimeter-Wave CMOS Integrated Circuits.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

2018
32-Gbit/s CMOS Receivers in 300-GHz Band.
IEICE Trans. Electron., 2018

300-GHz CMOS transmitter module with built-in waveguide transition on a multilayered glass epoxy PCB.
Proceedings of the 2018 IEEE Radio and Wireless Symposium, 2018

A 37-GHz-Input Divide-by-36 Injection-Locked Frequency Divider with 1.6-GHz Lock Range.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2018

2017
Integrated-Circuit Approaches to THz Communications: Challenges, Advances, and Future Prospects.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017

17.9 A 105Gb/s 300GHz CMOS transmitter.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

2016
A 300 GHz CMOS Transmitter With 32-QAM 17.5 Gb/s/ch Capability Over Six Channels.
IEEE J. Solid State Circuits, 2016

Compact 141-GHz Differential Amplifier with 20-dB Peak Gain and 22-GHz 3-dB Bandwidth.
IEICE Trans. Electron., 2016

Scattered Reflections on Scattering Parameters - Demystifying Complex-Referenced S Parameters -.
IEICE Trans. Electron., 2016

20.1 A 300GHz 40nm CMOS transmitter with 32-QAM 17.5Gb/s/ch capability over 6 channels.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

2015
Tehrahertz CMOS Design for Low-Power and High-Speed Wireless Communication.
IEICE Trans. Electron., 2015

Recent progress and prospects of terahertz CMOS.
IEICE Electron. Express, 2015

124-GHz CMOS quadrature voltage-controlled oscillator with fundamental injection locking.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2015

2014
E-Band 65nm CMOS Low-Noise Amplifier Design Using Gain-Boost Technique.
IEICE Trans. Electron., 2014

2013
Modeling of Short-Millimeter-Wave CMOS Transmission Line with Lossy Dielectrics with Specific Absorption Spectrum.
IEICE Trans. Electron., 2013

2012
RF signal generator using time domain harmonic suppression technique in 90nm CMOS.
IEICE Electron. Express, 2012

2011
RF CMOS Integrated Circuit: History, Current Status and Future Prospects.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

2010
A Universal Equivalent Circuit Model for Ceramic Capacitors.
IEICE Trans. Electron., 2010

Wide-band, high linear low noise amplifier design in 0.18um CMOS technology.
IEICE Electron. Express, 2010

2009
Physical design challenges to nano-CMOS circuits.
IEICE Electron. Express, 2009

A low-phase-noise injection-locked differential ring-VCO with half-integral subharmonic locking in 0.18 µm CMOS.
Proceedings of the 35th European Solid-State Circuits Conference, 2009

Design of CMOS inverter-based output buffers adapting the cherry-hooper broadbanding technique.
Proceedings of the 19th European Conference on Circuit Theory and Design, 2009

2008
Layout-Aware Compact Model of MOSFET Characteristics Variations Induced by STI Stress.
IEICE Trans. Electron., 2008

2007
Adaptable wire-length distribution with tunable occupation probability.
Proceedings of the Ninth International Workshop on System-Level Interconnect Prediction (SLIP 2007), 2007

A MOS Transistor-Array for Accurate Measurement of Subthreshold Leakage Variation.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007


  Loading...