Patrick Reynaert

Orcid: 0000-0001-5061-9870

According to our database1, Patrick Reynaert authored at least 122 papers between 2003 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A Fully Integrated 135-GHz Direct-Digital 16-QAM Wireless and Dielectric Waveguide Link in 28-nm CMOS.
IEEE J. Solid State Circuits, March, 2024

2023
A 39-GHz High Image-Rejection Up-Conversion Mixer in 65-nm CMOS for 5G Communication.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2023

Low-Loss Tunable-Phase Transmission Line Couplers -A Comparative Study.
Proceedings of the 18th Conference on Ph.D Research in Microelectronics and Electronics, 2023

A $4\times 4$ 607GHz Harmonic Injection-Locked Receiver Array Achieving $4.4\text{pW}/\surd\text{Hz}$ NEP in 28nm CMOS.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A Compact 0.98 THz Source With On-Chip Antenna In 250-nm InP DHBT.
Proceedings of the IEEE BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium, 2023

Mm-wave Circuit Design in 16nm FinFET for 6G Applications
Springer, ISBN: 978-3-031-11223-2, 2023

2022
Analysis and Implementation of Harmonic Injection Locking in Cross-Coupled Oscillators Exploiting Inter-Harmonic Translations.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Analysis and Design of Fourth Harmonic Boosting Technique for THz Signal Generation.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Clock Recovery Circuit Using a Transmission Line as a Delay Element from a 100Gb/s bit stream.
Proceedings of the 20th IEEE Interregional NEWCAS Conference, 2022

A D-Band mm-wave spectroscopy TX and RX in 28 nm CMOS with 15.6 dBm EIRP and 17.1 dB NF with integrated antennas.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

A 135 GHz 24 Gb/s Direct-Digital Demodulation 16-QAM Receiver in 28 nm CMOS.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

A 135 GHz 32 Gb/s Direct-Digital Modulation 16-QAM Transmitter in 28 nm CMOS.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

2021
Analysis and Design of Lossy Capacitive Over-Neutralization Technique for Amplifiers Operating Near f<sub>MAX</sub>.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

A 420-GHz Sub-5-μm Range Resolution TX-RX Phase Imaging System in 40-nm CMOS Technology.
IEEE J. Solid State Circuits, 2021

A Ka-Band Doherty-Like LMBA for High-Speed Wireless Communication in 28-nm CMOS.
IEEE J. Solid State Circuits, 2021

A 670-GHz 4 × 2 Oscillator-Radiator Array Achieving 7.4-dBm EIRP in 40-nm CMOS.
IEEE J. Solid State Circuits, 2021

Robust, Efficient Distributed Power Amplifier Achieving 96 Gbit/s With 10 dBm Average Output Power and 3.7% PAE in 22-nm FD-SOI.
IEEE J. Solid State Circuits, 2021

23.3 A 605GHz 0.84mW Harmonic Injection-Locked Receiver Achieving 2.3pW/√Hz NEP in 28nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

22.3 A 0.42THz Coherent TX-RX System Achieving 10dBm EIRP and 27dB NF in 40nm CMOS for Phase-Contrast Imaging.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

Session 23 Overview: THz Circuits and Front-Ends Rf Subcommittee.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

26.2 A Doherty-Like Load-Modulated Balanced Power Amplifier Achieving 15.5dBm Average Pout and 20% Average PAE at a Data Rate of 18Gb/s in 28nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A PAM4 Dielectric Waveguide Link in 28 nm CMOS.
Proceedings of the 47th ESSCIRC 2021, 2021

2020
A 390-GHz Outphasing Transmitter in 28-nm CMOS.
IEEE J. Solid State Circuits, 2020

Guest Editorial Special Section on the 45th IEEE European Solid-State Circuits Conference (ESSCIRC).
IEEE J. Solid State Circuits, 2020

A Review of Broadband Low-Cost and High-Gain Low-Terahertz Antennas for Wireless Communications Applications.
IEEE Access, 2020

3D Printing of Millimetre Wave and Low-Terahertz Frequency Selective Surfaces Using Aerosol Jet Technology.
IEEE Access, 2020

Stability Analysis of Parasitic Coupling Between on-Chip Antenna and mm-Wave Front-End.
Proceedings of the 2020 IEEE Radio and Wireless Symposium, 2020

24.7 A 15dBm 12.8%-PAE Compact D-Band Power Amplifier with Two-Way Power Combining in 16nm FinFET CMOS.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

29.2 A 0.59THz Beam-Steerable Coherent Radiator Array with 1mW Radiated Power and 24.1dBm EIRP in 40nm CMOS.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

29.6 A 660-to-676GHz 4×2 Oscillator-Radiator Array with Intrinsic Frequency-Filtering Feedback for Harmonic Power Boost Achieving 7.4dBm EIRP in 40nm CMOS.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

2019
Analysis and Design of a Foam-Cladded PMF Link With Phase Tuning in 28-nm CMOS.
IEEE J. Solid State Circuits, 2019

A 0.53-THz Subharmonic Injection-Locked Phased Array With 63-µW Radiated Power in 40-nm CMOS.
IEEE J. Solid State Circuits, 2019

Polymer Microwave Fiber: a New Communication Concept That Blends Wireless, Wireline and Optical Communication.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

A 94 GHz Voltage-Boosted Energy Harvester in 45 nm CMOS Achieving a Peak Efficiency of 21.2% at -8.5 dBm Input Power.
Proceedings of the IEEE EUROCON 2019, 2019

A Compact Ka-Band Transformer-Coupled Power Amplifier for 5G in 0.15um GaAs.
Proceedings of the 2019 IEEE BiCMOS and Compound semiconductor Integrated Circuits and Technology Symposium (BCICTS), 2019

A Packaged Fully Digital 390GHz Harmonic Outphasing Transmitter in 28nm CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

2018
A Coupled-RTWO-Based Subharmonic Receiver Front End for 5G E-Band Backhaul Links in 28-nm Bulk CMOS.
IEEE J. Solid State Circuits, 2018

A Wideband Class-AB Power Amplifier With 29-57-GHz AM-PM Compensation in 0.9-V 28-nm Bulk CMOS.
IEEE J. Solid State Circuits, 2018

Bidirectional Communication Circuits for a 120-GHz PMF Data Link in 40-nm CMOS.
IEEE J. Solid State Circuits, 2018

An Integrated Power Detector for a 5GHz RF PA.
Proceedings of the 14th Conference on Ph.D. Research in Microelectronics and Electronics, 2018

A coupled-RTWO-based subharmonic receiver front-end for 5G E-Band backhaul links in 28nm bulk CMOS.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A Broadband 13 Vpp 40% PAE Stacked Line Driver in 28 nm Bulk CMOS.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

A Quadrature Phase Detector in 28nm CMOS for Differential mm-Wave Sensing Applications Using Dielectric Waveguides.
Proceedings of the 44th IEEE European Solid State Circuits Conference, 2018

A D-band Foam-Cladded Dielectric Waveguide Communication Link with Automatic Tuning.
Proceedings of the 44th IEEE European Solid State Circuits Conference, 2018

2017
Highly Tunable Triangular Wave UWB Baseband Pulse Generator With Amplitude Stabilization in 40-nm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

On the Design of Wideband Transformer-Based Fourth Order Matching Networks for E-Band Receivers in 28-nm CMOS.
IEEE J. Solid State Circuits, 2017

A 120GHz in-band full-duplex PMF transceiver with tunable electrical-balance duplexer in 40nm CMOS.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

A 20Gbps 1.2GHz full-duplex integrated AFE in 28nm CMOS for copper access.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

2016
A Multi-Gigabit CPFSK Polymer Microwave Fiber Communication Link in 40 nm CMOS.
IEEE J. Solid State Circuits, 2016

Multiphase digitally controlled oscillator for future 5G phased arrays in 90 nm CMOS.
Proceedings of the IEEE Nordic Circuits and Systems Conference, 2016

20.10 A 68.1-to-96.4GHz variable-gain low-noise amplifier in 28nm CMOS.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

An 18Gbps polymer microwave fiber (PMF) communication link in 40nm CMOS.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

Polymer Microwave Fibers: A blend of RF, copper and optical communication.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

A 40nm bulk CMOS line driver for broadband communication.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

2015
Direct RF Subsampling Receivers Enabling Impulse-Based UWB Signals for Breast Cancer Detection.
IEEE Trans. Circuits Syst. II Express Briefs, 2015

A 40 nm CMOS E-Band Transmitter With Compact and Symmetrical Layout Floor-Plans.
IEEE J. Solid State Circuits, 2015

Dual-Mode CMOS Doherty LTE Power Amplifier With Symmetric Hybrid Transformer.
IEEE J. Solid State Circuits, 2015

A mm-Precise 60 GHz Transmitter in 40 nm CMOS for Discrete-Carrier Indoor Localization.
IEEE J. Solid State Circuits, 2015

A Fully Integrated Transformer-Coupled Power Detector With 5 GHz RF PA for WLAN 802.11ac in 40 nm CMOS.
IEEE J. Solid State Circuits, 2015

10.2 An FSK plastic waveguide communication link in 40nm CMOS.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

A 280 ps - 7.5 ns UWB Pulse Generator with Amplitude Compensation in 40 nm CMOS.
Proceedings of the IEEE International Conference on Ubiquitous Wireless Broadband, 2015

Experimental validation of a compact model for EM reflection and transmission in multi-layered structures.
Proceedings of the 2015 IEEE International Instrumentation and Measurement Technology Conference (I2MTC) Proceedings, 2015

Multi-standard wideband OFDM RF-PWM transmitter in 40nm CMOS.
Proceedings of the ESSCIRC Conference 2015, 2015

A 25-102GHz 2.81-5.64mW tunable divide-by-4 in 28nm CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2015

A THz signal source with integrated antenna for non-destructive testing in 28nm bulk CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2015

2014
Reduction of Aliasing Effects of RF PWM Modulated Signals by Cross Point Estimation.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Frequency-Domain Analysis of Digital PWM-Based RF Modulators for Flexible Wireless Transmitters.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

GASPAD: A General and Efficient mm-Wave Integrated Circuit Synthesis Method Based on Surrogate Model Assisted Evolutionary Algorithm.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

A 0.54 THz Signal Generator in 40 nm Bulk CMOS With 22 GHz Tuning Range and Integrated Planar Antenna.
IEEE J. Solid State Circuits, 2014

A 120 GHz Fully Integrated 10 Gb/s Short-Range Star-QAM Wireless Transmitter With On-Chip Bondwire Antenna in 45 nm Low Power CMOS.
IEEE J. Solid State Circuits, 2014

14.1 A 0.9V 20.9dBm 22.3%-PAE E-band power amplifier with broadband parallel-series power combiner in 40nm CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

20.1 A 40nm CMOS receiver for 60GHz discrete-carrier indoor localization achieving mm-precision at 4m range.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

14.3 A Push-Pull mm-Wave power amplifier with.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

3.4 A dual-mode transformer-based doherty LTE power amplifier in 40nm CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

3.3 A transformer-coupled true-RMS power detector in 40nm CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

Design of a frequency reference based on a PVT-independent transmission line delay.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

A 160-GHz three-stage fully-differential amplifier in 40-nm CMOS.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

An E-Band low-noise Transformer-Coupled Quadrature VCO in 40 nm CMOS.
Proceedings of the ESSCIRC 2014, 2014

A dual-notch +27dBm Tx-power electrical-balance duplexer.
Proceedings of the ESSCIRC 2014, 2014

A 60GHz transmitter in 40nm CMOS achieving mm-precision for discrete-carrier localization.
Proceedings of the ESSCIRC 2014, 2014

A 3 Gb/s 64-QAM E-band direct-conversion transmitter in 40-nm CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

2013
Presilicon Circuit-Aware Linear Least Squares Spectral Analysis for Time-Based Data Converters.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

Design of an Optimal Layout Polyphase Filter for Millimeter-Wave Quadrature LO Generation.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

Analysis and Optimization of Transformer-Based Power Combining for Back-Off Efficiency Enhancement.
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

A 60-GHz Dual-Mode Class AB Power Amplifier in 40-nm CMOS.
IEEE J. Solid State Circuits, 2013

A plastic waveguide receiver in 40nm CMOS with on-chip bondwire antenna.
Proceedings of the ESSCIRC 2013, 2013

A 0.54 THz signal generator in 40 nm bulk CMOS with 22 GHz tuning range.
Proceedings of the ESSCIRC 2013, 2013

A 120GHz fully integrated 10Gb/s wireless transmitter with on-chip antenna in 45nm low power CMOS.
Proceedings of the ESSCIRC 2013, 2013

2012
A CMOS Burst-Mode Transmitter With Watt-Level RF PA and Flexible Fully Digital Front-End.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

An Efficient High-Frequency Linear RF Amplifier Synthesis Method Based on Evolutionary Computation and Machine Learning Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

A 60-GHz Outphasing Transmitter in 40-nm CMOS.
IEEE J. Solid State Circuits, 2012

A Fully Digital Delay Line Based GHz Range Multimode Transmitter Front-End in 65-nm CMOS.
IEEE J. Solid State Circuits, 2012

Transformer-Based Uneven Doherty Power Amplifier in 90 nm CMOS for WLAN Applications.
IEEE J. Solid State Circuits, 2012

Introduction to the Special Issue on the 37th European Solid-State Circuits Conference (ESSCIRC).
IEEE J. Solid State Circuits, 2012

A 60GHz outphasing transmitter in 40nm CMOS with 15.6dBm output power.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

Analysis and characterization of mismatches in outphasing transmitter.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

Picosecond pulse generation with nonlinear transmission lines in 90-nm CMOS for mm-wave imaging applications.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

A 60 GHz dual-mode power amplifier with 17.4 dBm output power and 29.3% PAE in 40-nm CMOS.
Proceedings of the 38th European Solid-State Circuit conference, 2012

2011
Synthesis of Integrated Passive Components for High-Frequency RF ICs Based on Evolutionary Computation and Machine Learning Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

A 120GHz 10Gb/s phase-modulating transmitter in 65nm LP CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A 200GHz downconverter in 90nm CMOS.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

A colpitts LC VCO with Miller-capacitance gm enhancing and phase noise reduction techniques.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

CMOS transformer-based uneven Doherty power amplifier for WLAN applications.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

A fully integrated CMOS power amplifier for LTE-applications using clover shaped DAT.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

Global optimization of integrated transformers for high frequency microwave circuits using a Gaussian process based surrogate model.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
A 0.5 V-1.4 V supply-independent frequency-based analog-to-digital converter with fast start-up time for wireless sensor networks.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A 60GHz 15.7mW static frequency divider in 90nm CMOS.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

A 2.4 GHz fully integrated Doherty power amplifier using series combining transformer.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

60GHz power amplifier with distributed active transformer and local feedback.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

2009
Design and Analysis of a 90 nm mm-Wave Oscillator Using Inductive-Division LC Tank.
IEEE J. Solid State Circuits, 2009

Design Considerations for 60 GHz Transformer-Coupled CMOS Power Amplifiers.
IEEE J. Solid State Circuits, 2009

Device, Circuit, and System Considerations for 60 GHz CMOS.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

2008
Transformer-Coupled Power Amplifier Stability and Power Back-Off Analysis.
IEEE Trans. Circuits Syst. II Express Briefs, 2008

A 5.8 GHz 1 V Linear Power Amplifier Using a Novel On-Chip Transformer Power Combiner in Standard 90 nm CMOS.
IEEE J. Solid State Circuits, 2008

A 60GHz 1V + 12.3dBm Transformer-Coupled Wideband PA in 90nm CMOS.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

A 90nm CMOS mm-wave VCO using an LC tank with inductive division.
Proceedings of the ESSCIRC 2008, 2008

2007
A 2.45-GHz 0.13-µm CMOS PA With Parallel Amplification.
IEEE J. Solid State Circuits, 2007

Power combining techniques for RF and mm-wave CMOS power amplifiers.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

2005
A 1.75-GHz polar modulated CMOS RF power amplifier for GSM-EDGE.
IEEE J. Solid State Circuits, 2005

Digital communication systems: the problem of analog interface circuits.
Proceedings of the 31st European Solid-State Circuits Conference, 2005

A 0.18μm CMOS switched capacitor voltage modulator.
Proceedings of the 31st European Solid-State Circuits Conference, 2005

2003
A state-space behavioral model for CMOS class E power amplifiers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003


  Loading...