Susan J. Eggers

Affiliations:
  • University of Washington, Seattle, Washington, USA


According to our database1, Susan J. Eggers authored at least 67 papers between 1980 and 2018.

Collaborative distances:

Awards

ACM Fellow

ACM Fellow 2002, "For contributions to the design and analysis of multithreaded and shared memory multiprocessors and compiler technology.".

IEEE Fellow

IEEE Fellow 2003, "For contributions to the design of multithreaded and shared memory multiprocessors and compiler technology.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2018
Susan Eggers Receives Eckert-Mauchly Award for Outstanding Contributions to Computer Architecture.
IEEE Micro, 2018

2011
Checked Load: Architectural support for JavaScript type-checking on mobile processors.
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011

2010
2010 Athena lecture.
Proceedings of the 2010 ACM SIGPLAN Conference on Programming Language Design and Implementation, 2010

A limit study of JavaScript parallelism.
Proceedings of the 2010 IEEE International Symposium on Workload Characterization, 2010

2009
Performance and power of cache-based reconfigurable computing.
Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, 2009

2008
CHiMPS: A C-level compilation flow for hybrid CPU-FPGA architectures.
Proceedings of the FPL 2008, 2008

2007
The WaveScalar architecture.
ACM Trans. Comput. Syst., 2007

2006
Modeling instruction placement on a spatial architecture.
Proceedings of the SPAA 2006: Proceedings of the 18th Annual ACM Symposium on Parallelism in Algorithms and Architectures, Cambridge, Massachusetts, USA, July 30, 2006

Area-Performance Trade-offs in Tiled Dataflow Architectures.
Proceedings of the 33rd International Symposium on Computer Architecture (ISCA 2006), 2006

Instruction scheduling for a tiled dataflow architecture.
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, 2006

Reducing control overhead in dataflow architectures.
Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), 2006

2005
Program Slicing with Dynamic Points-To Sets.
IEEE Trans. Software Eng., 2005

2003
An evaluation of speculative instruction execution on simultaneous multithreaded processors.
ACM Trans. Comput. Syst., 2003

Comprehensive synchronization elimination for Java.
Sci. Comput. Program., 2003

Improving server software support for simultaneous multithreaded processors.
Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2003

Mini-Threads: Increasing TLP on Small-Scale SMT Processors.
Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), 2003

2002
Improving program slicing with dynamic points-to data.
Proceedings of the Tenth ACM SIGSOFT Symposium on Foundations of Software Engineering 2002, 2002

Nooks: an architecture for reliable device drivers.
Proceedings of the 10th ACM SIGOPS European Workshop, Saint-Emilion, France, July 1, 2002, 2002

Towards automatic construction of staged compilers.
Proceedings of the Conference Record of POPL 2002: The 29th SIGPLAN-SIGACT Symposium on Principles of Programming Languages, 2002

2001
Dynamic points-to sets: a comparison with static analyses and potential applications in program understanding and optimization.
Proceedings of the 2001 ACM SIGPLAN-SIGSOFT Workshop on Program Analysis For Software Tools and Engineering, 2001

2000
The benefits and costs of DyC's run-time optimizations.
ACM Trans. Program. Lang. Syst., 2000

DyC: an expressive annotation-directed dynamic compiler for C.
Theor. Comput. Sci., 2000

Calpa: a tool for automating selective dynamic compilation.
Proceedings of the 33rd Annual IEEE/ACM International Symposium on Microarchitecture, 2000

An Analysis of Operating System Behavior on a Simultaneous Multithreaded Architecture.
Proceedings of the ASPLOS-IX Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems, 2000

1999
Software-Directed Register Deallocation for Simultaneous Multithreaded Processors.
IEEE Trans. Parallel Distributed Syst., 1999

Tuning Compiler Optimizations for Simultaneous Multithreading.
Int. J. Parallel Program., 1999

Static Analyses for Eliminating Unnecessary Synchronization from Java Programs.
Proceedings of the Static Analysis, 6th International Symposium, 1999

An evaluation of staged run-time optimizations in DyC (with retrospective)
Proceedings of the 20 Years of the ACM SIGPLAN Conference on Programming Language Design and Implementation 1979-1999, 1999

An Evaluation of Staged Run-Time Optimizations in DyC.
Proceedings of the 1999 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), 1999

Supporting Fine-Grained Synchronization on a Simultaneous Multithreading Processor.
Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, 1999

1998
Simultaneous Multithreading: Maximizing On-Chip Parallelism.
Proceedings of the 25 Years of the International Symposia on Computer Architecture (Selected Papers)., 1998

Retrospective: Simultaneous Multithreading: Maximizing On-Chip Parallelism.
Proceedings of the 25 Years of the International Symposia on Computer Architecture (Selected Papers)., 1998

An Analysis of Database Workload Performance on Simultaneous Multithreaded Processors.
Proceedings of the 25th Annual International Symposium on Computer Architecture, 1998

1997
Converting Thread-Level Parallelism to Instruction-Level Parallelism via Simultaneous Multithreading.
ACM Trans. Comput. Syst., 1997

Simultaneous multithreading: a platform for next-generation processors.
IEEE Micro, 1997

Annotation-Directed Run-Time Specialization in C.
Proceedings of the ACM SIGPLAN Symposium on Partial Evaluation and Semantics-Based Program Manipulation (PEPM '97), 1997

1996
Fast, Effective Dynamic Compilation.
Proceedings of the ACM SIGPLAN'96 Conference on Programming Language Design and Implementation (PLDI), 1996

Exploiting Choice: Instruction Fetch and Issue on an Implementable Simultaneous Multithreading Processor.
Proceedings of the 23rd Annual International Symposium on Computer Architecture, 1996

1995
Effective Cache Prefetching on Bus-Based Multiprocessors
ACM Trans. Comput. Syst., 1995

SPIN - An Extensible Microkernel for Application-specific Operating System Services.
ACM SIGOPS Oper. Syst. Rev., 1995

Extensibility, Safety and Performance in the SPIN Operating System.
Proceedings of the Fifteenth ACM Symposium on Operating System Principles, 1995

Reducing False Sharing on Shared Memory Multiprocessors through Compile Time Data Transformations.
Proceedings of the Fifth ACM SIGPLAN Symposium on Principles & Practice of Parallel Programming (PPOPP), 1995

Improving Balanced Scheduling with Compiler Optimizations that Increase Instruction-Level Parallelism.
Proceedings of the ACM SIGPLAN'95 Conference on Programming Language Design and Implementation (PLDI), 1995

1994
Impact of Sharing-Based Thread Placement on Multithreaded Architectures.
Proceedings of the 21st Annual International Symposium on Computer Architecture. Chicago, 1994

Static Analysis of Barrier Synchronization in Explicitly Parallel Programs.
Proceedings of the Parallel Architectures and Compilation Techniques, 1994

The Effectiveness of Multiple Hardware Contexts.
Proceedings of the ASPLOS-VI Proceedings, 1994

1993
Balanced scheduling: instruction scheduling when memory latency is uncertain (with retrospective)
Proceedings of the 20 Years of the ACM SIGPLAN Conference on Programming Language Design and Implementation 1979-1999, 1993

Balanced Scheduling: Instruction Scheduling When Memory Latency is Uncertain.
Proceedings of the ACM SIGPLAN'93 Conference on Programming Language Design and Implementation (PLDI), 1993

Limitations of Cache Prefetching on a Bus-Based Multiprocessor.
Proceedings of the 20th Annual International Symposium on Computer Architecture, 1993

1992
Computing Per-Process Summary Side-Effect Information.
Proceedings of the Languages and Compilers for Parallel Computing, 1992

Architectural Support for Single Address Space Operating Systems.
Proceedings of the ASPLOS-V Proceedings, 1992

1991
Simplicity Versus Accuracy in a Model of Cache Coherency Overhead.
IEEE Trans. Computers, 1991

The Marion System for Retargetable Instruction Scheduling.
Proceedings of the ACM SIGPLAN'91 Conference on Programming Language Design and Implementation (PLDI), 1991

On the Validity of Trace-Driven Simulation for Multiprocessors.
Proceedings of the 18th Annual International Symposium on Computer Architecture. Toronto, 1991

The Effect on RISC Performance of Register Set Size and Structure Versus Code Generation Strategy.
Proceedings of the 18th Annual International Symposium on Computer Architecture. Toronto, 1991

Eliminating False Sharing.
Proceedings of the International Conference on Parallel Processing, 1991

Integrating Register Allocation and Instruction Scheduling for RISCs.
Proceedings of the ASPLOS-IV Proceedings, 1991

1990
Techniques for Efficient Inline Tracing on a Shared-Memory Multiprocessor.
Proceedings of the 1990 ACM SIGMETRICS conference on Measurement and modeling of computer systems, 1990

1989
Techniques for the trace-driven simulation of cache performance.
Proceedings of the 21st Winter Simulation Conference, 1989

Evaluating the Performance of Four Snooping Cache Coherency Protocols.
Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, 1989

The Effect of Sharing on the Cache and Bus Performance of Parallel Programs.
Proceedings of the ASPLOS-III Proceedings, 1989

1988
A Characterization of Sharing in Parallel Programs and Its Application to Coherency Protocol Evaluation.
Proceedings of the 15th Annual International Symposium on Computer Architecture, 1988

1986
An In-Cache Address Translation Mechanism.
Proceedings of the 13th Annual Symposium on Computer Architecture, Tokyo, Japan, June 1986, 1986

1985
Implementing A Cache Consistency Protocol.
Proceedings of the 12th Annual Symposium on Computer Architecture, 1985

1983
Statistical Data Management Research at Lawrence Berkeley Laboratory.
Proceedings of the Second International Workshop on Statistical Database Management, 1983

1981
A Compression Technique for Large Statistical Data-Bases
Proceedings of the Very Large Data Bases, 1981

1980
Efficient Access of Compressed Data.
Proceedings of the Sixth International Conference on Very Large Data Bases, 1980


  Loading...