Taesu Kim

Orcid: 0000-0002-4846-1805

According to our database1, Taesu Kim authored at least 55 papers between 2003 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
QUICK: Quantization-aware Interleaving and Conflict-free Kernel for efficient LLM inference.
CoRR, 2024

SLEB: Streamlining LLMs through Redundancy Verification and Elimination of Transformer Blocks.
CoRR, 2024

OWQ: Outlier-Aware Weight Quantization for Efficient Fine-Tuning and Inference of Large Language Models.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

2023
V-LSTM: An Efficient LSTM Accelerator Using Fixed Nonzero-Ratio Viterbi-Based Pruning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2023

Squeezing Large-Scale Diffusion Models for Mobile.
CoRR, 2023

OWQ: Lessons learned from activation outliers for weight quantization in large language models.
CoRR, 2023

Searching for Robust Binary Neural Networks via Bimodal Parameter Perturbation.
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2023

Leveraging Early-Stage Robustness in Diffusion Models for Efficient and High-Quality Image Synthesis.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

Cross-Speaker Emotion Transfer by Manipulating Speech Style Latents.
Proceedings of the IEEE International Conference on Acoustics, 2023

Affective Role of the Future Autonomous Vehicle Interior.
Proceedings of the Adjunct Proceedings of the 15th International Conference on Automotive User Interfaces and Interactive Vehicular Applications, 2023

2022
BitBlade: Energy-Efficient Variable Bit-Precision Hardware Accelerator for Quantized Neural Networks.
IEEE J. Solid State Circuits, 2022

Sketching in-vehicle ambient lighting in virtual reality with the Wizard-of-Oz method.
Digit. Creativity, 2022

Hi, KIA: A Speech Emotion Recognition Dataset for Wake-Up Words.
CoRR, 2022

Affective responses to chromatic ambient light in a vehicle.
CoRR, 2022

User Responses to Dynamic Light in Automobiles With EEG and Self-Assessments.
IEEE Access, 2022

EdiTTS: Score-based Editing for Controllable Text-to-Speech.
Proceedings of the Interspeech 2022, 2022

Text-driven Emotional Style Control and Cross-speaker Style Transfer in Neural TTS.
Proceedings of the Interspeech 2022, 2022

GP22: A Car Styling Dataset for Automotive Designers.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops, 2022

Pokemon color adjustments for augmented reality contents.
Proceedings of the Color Imaging XXVII: Displaying, 2022

2021
SPRITE: Sparsity-Aware Neural Processing Unit with Constant Probability of Index-Matching.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
Time-step interleaved weight reuse for LSTM neural network computing.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020

V-LSTM: An Efficient LSTM Accelerator Using Fixed Nonzero-Ratio Viterbi-Based Pruning.
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020

A 44.1TOPS/W Precision-Scalable Accelerator for Quantized Neural Networks in 28nm CMOS.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

2019
Area-Efficient and Variation-Tolerant In-Memory BNN Computing using 6T SRAM Array.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

Large-Scale Speaker Retrieval on Random Speaker Variability Subspace.
Proceedings of the Interspeech 2019, 2019

Illuminant Estimation Through Reverse Calibration of an Auto White-Balanced Image That Contains Displays.
Proceedings of the 27th Color and Imaging Conference, 2019

Double Viterbi: Weight Encoding for High Compression Ratio and Fast On-Chip Reconstruction for Deep Neural Network.
Proceedings of the 7th International Conference on Learning Representations, 2019

Robust and Fine-grained Prosody Control of End-to-end Speech Synthesis.
Proceedings of the IEEE International Conference on Acoustics, 2019

Configurable BCAM/TCAM Based on 6T SRAM Bit Cell and Enhanced Match Line Clamping.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

2018
True White Point for Television Screens Across Different Viewing Conditions.
IEEE Trans. Consumer Electron., 2018

Deep Neural Network Optimized to Resistive Memory with Nonlinear Current-Voltage Characteristics.
ACM J. Emerg. Technol. Comput. Syst., 2018

Learning pronunciation from a foreign language in speech synthesis networks.
CoRR, 2018

Voice Imitating Text-to-Speech Neural Networks.
CoRR, 2018

Viterbi-based Pruning for Sparse Matrix with Fixed and High Index Compression Ratio.
Proceedings of the 6th International Conference on Learning Representations, 2018

c.light: A Tool for Exploring Light Properties in Early Design Stage.
Proceedings of the 2018 CHI Conference on Human Factors in Computing Systems, 2018

2017
Speaker Clustering by Iteratively Finding Discriminative Feature Space and Cluster Labels.
Proceedings of the Interspeech 2017, 2017

2014
Multiple stream tracker: a new hardware stride prefetcher.
Proceedings of the Computing Frontiers Conference, CF'14, 2014

2012
Improving Cache Management Policies Using Dynamic Reuse Distances.
Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, 2012

Revisiting level-0 caches in embedded processors.
Proceedings of the 15th International Conference on Compilers, 2012

2011
Self-similarity Based Lightweight Intrusion Detection Method for Cloud Computing.
Proceedings of the Intelligent Information and Database Systems, 2011

2010
Real-Time Independent Vector Analysis for Convolutive Blind Source Separation.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

Binaural loudness based speech reinforcement with a closed-form solution.
Proceedings of the IEEE International Conference on Acoustics, 2010

2007
Blind Source Separation Exploiting Higher-Order Frequency Dependencies.
IEEE Trans. Speech Audio Process., 2007

Fast fixed-point independent vector analysis algorithms for convolutive blind source separation.
Signal Process., 2007

Robust Independent Component Analysis Using Quadratic Negentropy.
Proceedings of the Independent Component Analysis and Signal Separation, 2007

Independent Vector Analysis for Convolutive Blind Speech Separation.
Proceedings of the Blind Speech Separation, 2007

2006
On the multivariate Laplace distribution.
IEEE Signal Process. Lett., 2006

Frequency Domain Blind Source Separation Exploiting Higher-Order Dependencies.
Proceedings of the 2006 IEEE International Conference on Acoustics Speech and Signal Processing, 2006

Complex FastIVA: A Robust Maximum Likelihood Approach of MICA for Convolutive BSS.
Proceedings of the Independent Component Analysis and Blind Signal Separation, 2006

Independent Vector Analysis: An Extension of ICA to Multivariate Components.
Proceedings of the Independent Component Analysis and Blind Signal Separation, 2006

Multivariate Scale Mixture of Gaussians Modeling.
Proceedings of the Independent Component Analysis and Blind Signal Separation, 2006

2005
Learning self-organized topology-preserving complex speech features at primary auditory cortex.
Neurocomputing, 2005

Robust time delay estimation in noisy reverberant environments with a probabilistic graphical model.
Proceedings of the 2005 IEEE International Conference on Acoustics, 2005

2004
Modeling Auditory Pathway for Intelligent Information Acquisition.
Int. J. Inf. Acquis., 2004

2003
FPGA implementation of ICA algorithm for blind signal separation and adaptive noise canceling.
IEEE Trans. Neural Networks, 2003


  Loading...