Vasileios Tsoutsouras

Orcid: 0000-0001-5824-9763

According to our database1, Vasileios Tsoutsouras authored at least 35 papers between 2010 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Electron-Tunnelling-Noise Programmable Random Variate Accelerator for Monte Carlo Sampling.
CoRR, 2024

CoSense: Compiler Optimizations using Sensor Technical Specifications.
Proceedings of the 33rd ACM SIGPLAN International Conference on Compiler Construction, 2024

2023
The Data Movement Bottleneck: Theoretical Shortcomings of Analog Optical Fourier Transform and Convolution Computing Accelerators.
CoRR, 2023

2022
The Laplace Microarchitecture for Tracking Data Uncertainty.
IEEE Micro, 2022

2021
Deriving equations from sensor data using dimensional function synthesis.
Commun. ACM, 2021

The Laplace Microarchitecture for Tracking Data Uncertainty and Its Implementation in a RISC-V Processor.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

2020
Fast Operation Mode Selection for Highly Efficient IoT Edge Devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Automated Physics-Derived Code Generation for Sensor Fusion and State Estimation.
CoRR, 2020

Synthesizing Compact Hardware for Accelerating Inference from Physical Signals in Sensors.
CoRR, 2020

Payload-Mass-Aware Trajectory Planning on Multi-User Autonomous Unmanned Aerial Vehicles.
CoRR, 2020

2019
<i>Oops</i>: Optimizing Operation-mode Selection for IoT Edge Devices.
ACM Trans. Internet Techn., 2019

Deriving Equations from Sensor Data Using Dimensional Function Synthesis.
ACM Trans. Embed. Comput. Syst., 2019

DMRM: Distributed Market-Based Resource Management of Edge Computing Systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Hardware Acceleration of Image Registration Algorithm on FPGA-based Systems on Chip.
Proceedings of the International Conference on Omni-Layer Intelligent Systems, 2019

2018
Application-Arrival Rate Aware Distributed Run-Time Resource Management for Many-Core Computing Platforms.
IEEE Trans. Multi Scale Comput. Syst., 2018

A Hierarchical Distributed Runtime Resource Management Scheme for NoC-Based Many-Cores.
ACM Trans. Embed. Comput. Syst., 2018

Distributed Trade-Based Edge Device Management in Multi-Gateway IoT.
ACM Trans. Cyber Phys. Syst., 2018

Efficient support vector machines implementation on Intel/Movidius Myriad 2.
Proceedings of the 7th International Conference on Modern Circuits and Systems Technologies, 2018

Towards plug&play smart thermostats inspired by reinforcement learning.
Proceedings of the Workshop on INTelligent Embedded Systems Architectures and Applications, 2018

2017
An Exploration Framework for Efficient High-Level Synthesis of Support Vector Machines: Case Study on ECG Arrhythmia Detection for Xilinx Zynq SoC.
J. Signal Process. Syst., 2017

SoftRM: Self-Organized Fault-Tolerant Resource Management for Failure Detection and Recovery in NoC Based Many-Cores.
ACM Trans. Embed. Comput. Syst., 2017

Network/system co-simulation for design space exploration of IoT applications.
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017

From edge to cloud: Design and implementation of a healthcare Internet of Things infrastructure.
Proceedings of the 27th International Symposium on Power and Timing Modeling, 2017

2016
Effective Learning and Filtering of Faulty Heart-Beats for Advanced ECG Arrhythmia Detection using MIT-BIH Database.
EAI Endorsed Trans. Pervasive Health Technol., 2016

Computation offloading and resource allocation for low-power IoT edge devices.
Proceedings of the 3rd IEEE World Forum on Internet of Things, 2016

Energy profile analysis of Zynq-7000 programmable SoC for embedded medical processing: Study on ECG arrhythmia detection.
Proceedings of the 26th International Workshop on Power and Timing Modeling, 2016

Distributed QoS management for internet of things under resource constraints.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016

2015
Job-Arrival Aware Distributed Run-Time Resource Management on Intel SCC Manycore Platform.
Proceedings of the 13th IEEE International Conference on Embedded and Ubiquitous Computing, 2015

Advancing Integrated and Personalized Healthcare Services, the AEGLE Approach.
Proceedings of the 13th IEEE International Conference on Embedded and Ubiquitous Computing, 2015

SWAN-iCARE Project: On the Efficiency of FPGAs Emulating Wearable Medical Devices for Wound Management and Monitoring.
Proceedings of the Applied Reconfigurable Computing - 11th International Symposium, 2015

2014
A HW/SW framework emulating wearable devices for remote wound monitoring and management.
Proceedings of the 4th International Conference on Wireless Mobile Communication and Healthcare: "Transforming healthcare through innovations in mobile and wireless technologies", 2014

2013
Distributed run-time resource management for malleable applications on many-core platforms.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Simulation of healthy and epileptiform Brain Activity using Cellular Automata.
Int. J. Bifurc. Chaos, 2012

Chaos, Self Organized Criticality, Intermittent turbulence and Nonextensivity revealed from Seismogenesis in North Aegean Area.
Int. J. Bifurc. Chaos, 2012

2010
Dynamic Frequency Scaling for MPSoCs based on Chaotic Workload Analysis.
Proceedings of the ARCS '10, 2010


  Loading...