Lars Bauer

Orcid: 0000-0003-0253-4594

Affiliations:
  • Karlsruhe Institute of Technology, Germany


According to our database1, Lars Bauer authored at least 103 papers between 2007 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
MaliGNNoma: GNN-Based Malicious Circuit Classifier for Secure Cloud FPGAs.
CoRR, 2024

Covert-Hammer: Coordinating Power-Hammering on Multi-tenant FPGAs via Covert Channels.
Proceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2024

2023
Swift-CNN: Leveraging PCM Memory's Fast Write Mode to Accelerate CNNs.
IEEE Embed. Syst. Lett., December, 2023

Effects of Runtime Reconfiguration on PUFs Implemented as FPGA-Based Accelerators.
IEEE Embed. Syst. Lett., December, 2023

ANV-PUF: Machine-Learning-Resilient NVM-Based Arbiter PUF.
ACM Trans. Embed. Comput. Syst., October, 2023

Cache-Based Side-Channel Attack Mitigation for Many-Core Distributed Systems via Dynamic Task Migration.
IEEE Trans. Inf. Forensics Secur., 2023

Memory Carousel: LLVM-Based Bitwise Wear Leveling for Nonvolatile Main Memory.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

Supporting Dynamic Control-Flow Execution for Runtime Reconfigurable Processors.
Proceedings of the International Conference on Microelectronics, 2023

The First Concept and Real-world Deployment of a GPU-based Thermal Covert Channel: Attack and Countermeasures.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Late Breaking Results: Configurable Ring Oscillators as a Side-Channel Countermeasure.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Smart Detection of Obfuscated Thermal Covert Channel Attacks in Many-core Processors.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Special Session - Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications.
Proceedings of the International Conference on Compilers, 2023

2022
Software-Managed Read and Write Wear-Leveling for Non-Volatile Main Memory.
ACM Trans. Embed. Comput. Syst., 2022

CaPUF: Cascaded PUF Structure for Machine Learning Resiliency.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

ARMOR: A Reliable and Mobility-Aware RPL for Mobile Internet of Things Infrastructures.
IEEE Internet Things J., 2022

Agent-based Constraint Solving for Resource Allocation in Manycore Systems.
CoRR, 2022

2021
LoopBreaker: Disabling Interconnects to Mitigate Voltage-Based Attacks in Multi-Tenant FPGAs.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

TiVaPRoMi: Time-Varying Probabilistic Row-Hammer Mitigation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
Fast Operation Mode Selection for Highly Efficient IoT Edge Devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Improved Feature Extraction Method for Sound Recognition Applied to Automatic Sorting of Recycling Wastes.
J. Inf. Process., 2020

Hierarchical Classification for Constrained IoT Devices: A Case Study on Human Activity Recognition.
IEEE Internet Things J., 2020

SoftWear: Software-Only In-Memory Wear-Leveling for Non-Volatile Main Memory.
CoRR, 2020

Impacts of Mobility Models on RPL-Based Mobile IoT Infrastructures: An Evaluative Comparison and Survey.
IEEE Access, 2020

System Software for Resource Arbitration on Future Many-* Architectures.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020

2019
<i>Oops</i>: Optimizing Operation-mode Selection for IoT Edge Devices.
ACM Trans. Internet Techn., 2019

From Cloud Down to Things: An Overview of Machine Learning in Internet of Things.
IEEE Internet Things J., 2019

WCET Guarantees for Opportunistic Runtime Reconfiguration.
Proceedings of the International Conference on Computer-Aided Design, 2019

Analyses and architectures for mixed-critical systems: industry trends and research perspective.
Proceedings of the International Conference on Embedded Software Companion, 2019

DMRM: Distributed Market-Based Resource Management of Edge Computing Systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Preemption of the Partial Reconfiguration Process to Enable Real-Time Computing With FPGAs.
ACM Trans. Reconfigurable Technol. Syst., 2018

Distributed Trade-Based Edge Device Management in Multi-Gateway IoT.
ACM Trans. Cyber Phys. Syst., 2018

Highly efficient and accurate seizure prediction on constrained IoT devices.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Efficient Partial Online Synthesis of Special Instructions for Reconfigurable Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Timing Analysis of Tasks on Runtime Reconfigurable Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Aging Resilience and Fault Tolerance in Runtime Reconfigurable Architectures.
IEEE Trans. Computers, 2017

CoRQ: Enabling Runtime Reconfiguration Under WCET Guarantees for Real-Time Systems.
IEEE Embed. Syst. Lett., 2017

Auto-SI: An adaptive reconfigurable processor with run-time loop detection and acceleration.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

Shallow Water Waves on a Deep Technology Stack: Accelerating a Finite Volume Tsunami Model Using Reconfigurable Hardware in Invasive Computing.
Proceedings of the Euro-Par 2017: Parallel Processing Workshops, 2017

Ultra-low power and dependability for IoT devices (Invited paper for IoT technologies).
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Architecting On-Chip DRAM Cache for Simultaneous Miss Rate and Latency Reduction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Extending the WCET Problem to Optimize for Runtime-Reconfigurable Processors.
ACM Trans. Archit. Code Optim., 2016

Invasive computing for timing-predictable stream processing on MPSoCs.
it Inf. Technol., 2016

Dark silicon management: an integrated and coordinated cross-layer approach.
it Inf. Technol., 2016

Computation offloading and resource allocation for low-power IoT edge devices.
Proceedings of the 3rd IEEE World Forum on Internet of Things, 2016

Resource budgeting for reliability in reconfigurable architectures.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Distributed QoS management for internet of things under resource constraints.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016

IoT technologies for embedded computing: a survey.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016

2015
Multicast FullHD H.264 Intra Video Encoder Architecture.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Resource-awareness on heterogeneous MPSoCs for image processing.
J. Syst. Archit., 2015

Adaptive multi-layer techniques for increased system dependability.
it Inf. Technol., 2015

STRAP: Stress-Aware Placement for Aging Mitigation in Runtime Reconfigurable Architectures.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Floating point acceleration for stream processing applications in dynamically reconfigurable processors.
Proceedings of the 13th IEEE Symposium on Embedded Systems For Real-time Multimedia, 2015

Online binding of applications to multiple clock domains in shared FPGA-based systems.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Adaptive on-the-fly application performance modeling for many cores.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

An approximate compressor for wearable biomedical healthcare monitoring systems.
Proceedings of the 2015 International Conference on Hardware/Software Codesign and System Synthesis, 2015

2014
Adaptive Energy Management for Dynamically Reconfigurable Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Adaptive embedded computing with <i>i</i>-core.
SIGBED Rev., 2014

MORP: makespan optimization for processors with an embedded reconfigurable fabric.
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014

GUARD: GUAranteed Reliability in Dynamically Reconfigurable Systems.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Multi-Layer Dependability: From Microarchitecture to Application Level.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Reducing Latency in an SRAM/DRAM Cache Hierarchy via a Novel Tag-Cache Architecture.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Automatic custom instruction identification in memory streaming algorithms.
Proceedings of the 2014 International Conference on Compilers, 2014

COREFAB: Concurrent reconfigurable fabric utilization in heterogeneous multi-core systems.
Proceedings of the 2014 International Conference on Compilers, 2014

2013
Test Strategies for Reliable Runtime Reconfigurable Architectures.
IEEE Trans. Computers, 2013

Module diversification: Fault tolerance and aging mitigation for runtime reconfigurable architectures.
Proceedings of the 2013 IEEE International Test Conference, 2013

An H.264 Quad-FullHD low-latency intra video encoder.
Proceedings of the Design, Automation and Test in Europe, 2013

Adaptive cache management for a combined SRAM and DRAM cache hierarchy for multi-cores.
Proceedings of the Design, Automation and Test in Europe, 2013

Reliable on-chip systems in the nano-era: lessons learnt and future trends.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Reducing inter-core cache contention with an adaptive bank mapping policy in DRAM cache.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2013

Hardware acceleration for programs in SSA form.
Proceedings of the International Conference on Compilers, 2013

Simultaneously optimizing DRAM cache hit latency and miss rate via novel set mapping policies.
Proceedings of the International Conference on Compilers, 2013

2012
Transparent structural online test for reconfigurable systems.
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

PATS: A Performance Aware Task Scheduler for Runtime Reconfigurable Processors.
Proceedings of the 2012 IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines, 2012

Dynamic cache management in multi-core architectures through run-time adaptation.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Partial online-synthesis for mixed-grained reconfigurable architectures.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Invasive manycore architectures.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

OTERA: Online test strategies for reliable reconfigurable architectures - Invited paper for the AHS-2012 special session "Dependability by reconfigurable hardware".
Proceedings of the 2012 NASA/ESA Conference on Adaptive Hardware and Systems, 2012

2011
Run-Time Resource Allocation for Simultaneous Multi-tasking in Multi-core Reconfigurable Processors.
Proceedings of the IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines, 2011

Minority-Game-based resource allocation for run-time reconfigurable multi-core processors.
Proceedings of the Design, Automation and Test in Europe, 2011

mRTS: Run-time system for reconfigurable processors with multi-grained instruction-set extensions.
Proceedings of the Design, Automation and Test in Europe, 2011

DistRM: distributed resource management for on-chip many-core systems.
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011


Adaptive resource management for simultaneous multitasking in mixed-grained reconfigurable multi-core processors.
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011

Concepts, architectures, and run-time systems for efficient and adaptive reconfigurable processors.
Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, 2011

Run-time Adaptation for Reconfigurable Embedded Processors.
Springer, ISBN: 978-1-4419-7411-2, 2011

2010
Optimizing the H.264/AVC Video Encoder Application Structure for Reconfigurable and Application-Specific Platforms.
J. Signal Process. Syst., 2010

Selective instruction set muting for energy-aware adaptive processors.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

enBudget: A Run-Time Adaptive Predictive Energy-Budgeting scheme for energy-aware Motion Estimation in H.264/MPEG-4 AVC video encoder.
Proceedings of the Design, Automation and Test in Europe, 2010

KAHRISMA: A novel Hypermorphic Reconfigurable-Instruction-Set Multi-grained-Array architecture.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
RISPP: a run-time adaptive reconfigurable embedded processor.
PhD thesis, 2009

REMiS: Run-time energy minimization scheme in a reconfigurable processor with dynamic power-gated instruction set.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

RISPP: A run-time adaptive reconfigurable embedded processor.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009

A parallel approach for high performance hardware design of intra prediction in H.264/AVC Video Codec.
Proceedings of the Design, Automation and Test in Europe, 2009

Cross-architectural design space exploration tool for reconfigurable processors.
Proceedings of the Design, Automation and Test in Europe, 2009

MinDeg: a performance-guided replacement policy for run-time reconfigurable accelerators.
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009

2008
Efficient Resource Utilization for an Extensible Processor Through Dynamic Instruction Set Adaptation.
IEEE Trans. Very Large Scale Integr. Syst., 2008

3-tier dynamically adaptive power-aware motion estimator for h.264/AVC video encoding.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

A computation- and communication- infrastructure for modular special instructions in a dynamically reconfigurable processor.
Proceedings of the FPL 2008, 2008

Run-time System for an Extensible Embedded Processor with Dynamic Instruction Set.
Proceedings of the Design, Automation and Test in Europe, 2008

Run-time instruction set selection in a transmutable embedded processor.
Proceedings of the 45th Design Automation Conference, 2008

2007
A Self-Adaptive Extensible Embedded Processor.
Proceedings of the First International Conference on Self-Adaptive and Self-Organizing Systems, 2007

An Optimized Application Architecture of the H.264 Video Encoder for Application Specific Platforms.
Proceedings of the 2007 5th Workshop on Embedded Systems for Real-Time Multimedia, 2007

RISPP: Rotating Instruction Set Processing Platform.
Proceedings of the 44th Design Automation Conference, 2007


  Loading...