Vivek Mishra

Orcid: 0000-0001-8255-0812

According to our database1, Vivek Mishra authored at least 28 papers between 2012 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A Novel Approach with Monte-Carlo Simulation and Hybrid Optimization Approach for Inventory Management with Stochastic Demand.
CoRR, 2023

Sampling - Variational Auto Encoder - Ensemble: In the Quest of Explainable Artificial Intelligence.
CoRR, 2023

Time-Series Forecasting: Unleashing Long-Term Dependencies with Fractionally Differenced Data.
CoRR, 2023

Multiple Independent DE Optimizations to Tackle Uncertainty and Variability in Demand in Inventory Management.
CoRR, 2023

Ensemble Differential Evolution with Simulation-Based Hybridization and Self-Adaptation for Inventory Management Under Uncertainty.
CoRR, 2023

Integration of Fractional Order Black-Scholes Merton with Neural Network.
Proceedings of the 15th International Conference on Innovations in Information Technology, 2023

2022
Investigation for Performance Measures of Wireless Power Transfer (WPT) using MATLAB.
Int. J. Perform. Eng., 2022

2021
An intelligent system to identify coal maceral groups using markov-fuzzy clustering approach.
J. Intell. Fuzzy Syst., 2021

2017
Fast Stochastic Analysis of Electromigration in Power Distribution Networks.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Probabilistic Wire Resistance Degradation Due to Electromigration in Power Grids.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Incorporating the Role of Stress on Electromigration in Power Grids with Via Arrays.
Proceedings of the 54th Annual Design Automation Conference, 2017

Autopilot design for A class of miniature autonomous blimps.
Proceedings of the IEEE Conference on Control Technology and Applications, 2017

Explorability of a turbulent scalar field.
Proceedings of the 2017 American Control Conference, 2017

2016
Cell-Internal Electromigration: Analysis and Pin Placement Based Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Invited - Optimizing device reliability effects at the intersection of physics, circuits, and architecture.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Predicting electromigration mortality under temperature and product lifetime specifications.
Proceedings of the 53rd Annual Design Automation Conference, 2016

A stochastic optimization framework for source seeking with infotaxis-like algorithms.
Proceedings of the 55th IEEE Conference on Decision and Control, 2016

Bio inspired source seeking: a Hybrid Speeding Up and Slowing Down Algorithm.
Proceedings of the 55th IEEE Conference on Decision and Control, 2016

2015
American sign language recognition using HAAR type classifier.
Int. J. Knowl. Based Intell. Eng. Syst., 2015

Reducing the signal Electromigration effects on different logic gates by cell layout optimization.
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015

Circuit delay variability due to wire resistance evolution under AC electromigration.
Proceedings of the IEEE International Reliability Physics Symposium, 2015

Impact on performance, power, area and wirelength using electromigration-aware cells.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

2014
Analyzing the electromigration effects on different metal layers and different wire lengths.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

A systematic approach for analyzing and optimizing cell-internal signal electromigration.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

2013
Lottery-Based Payment Mechanism for Microtasks.
Proceedings of the Human Computation and Crowdsourcing: Works in Progress and Demonstration Abstracts, 2013

Placement optimization of power supply pads based on locality.
Proceedings of the Design, Automation and Test in Europe, 2013

The impact of electromigration in copper interconnects on power grid integrity.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Circuit reliability: From Physics to Architectures: Embedded tutorial paper.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012


  Loading...