Wenming Li

Orcid: 0000-0003-4069-2251

According to our database1, Wenming Li authored at least 53 papers between 2005 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Improving Utilization of Dataflow Unit for Multi-Batch Processing.
ACM Trans. Archit. Code Optim., March, 2024

2023
Accelerating Convolutional Neural Networks by Exploiting the Sparsity of Output Activation.
IEEE Trans. Parallel Distributed Syst., December, 2023

HiHGNN: Accelerating HGNNs through Parallelism and Data Reusability Exploitation.
CoRR, 2023

Characterizing and Understanding Defense Methods for GNNs on GPUs.
IEEE Comput. Archit. Lett., 2023

Alleviating Transfer Latency in DataFlow Accelerator for DSP Applications.
Proceedings of the 41st IEEE International Conference on Computer Design, 2023

DFGC: DFG-aware NoC Control based on Time Stamp Prediction for Dataflow Architecture.
Proceedings of the 41st IEEE International Conference on Computer Design, 2023

ROMA: A Reconfigurable On-chip Memory Architecture for Multi-core Accelerators.
Proceedings of the IEEE International Conference on High Performance Computing & Communications, 2023

Improving Utilization of Dataflow Architectures Through Software and Hardware Co-Design.
Proceedings of the Euro-Par 2023: Parallel Processing - 29th International Conference on Parallel and Distributed Computing, Limassol, Cyprus, August 28, 2023

A High-accurate Multi-objective Exploration Framework for Design Space of CPU.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
Multi-Node Acceleration for Large-Scale GCNs.
IEEE Trans. Computers, 2022

Accelerating Data Transfer in Dataflow Architectures Through a Look-Ahead Acknowledgment Mechanism.
J. Comput. Sci. Technol., 2022

Characterizing and Understanding HGNNs on GPUs.
IEEE Comput. Archit. Lett., 2022

Characterization and Implementation of Radar System Applications on a Reconfigurable Dataflow Architecture.
IEEE Comput. Archit. Lett., 2022

Characterizing and Understanding Distributed GNN Training on GPUs.
IEEE Comput. Archit. Lett., 2022

GNNSampler: Bridging the Gap Between Sampling Algorithms of GNN and Hardware.
Proceedings of the Machine Learning and Knowledge Discovery in Databases, 2022

A Routing-Aware Mapping Method for Dataflow Architectures.
Proceedings of the Network and Parallel Computing, 2022

GEM: Execution-Aware Cache Management for Graph Analytics.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2022

MatGraph: An Energy-Efficient and Flexible CGRA Engine for Matrix-Based Graph Analytics.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2022

A Loop Optimization Method for Dataflow Architecture.
Proceedings of the 24th IEEE Int Conf on High Performance Computing & Communications; 8th Int Conf on Data Science & Systems; 20th Int Conf on Smart City; 8th Int Conf on Dependability in Sensor, 2022

LRP: Predictive output activation based on SVD approach for CNN s acceleration.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
An efficient scheduling algorithm for dataflow architecture using loop-pipelining.
Inf. Sci., 2021

RISC-NN: Use RISC, NOT CISC as Neural Network Hardware Infrastructure.
CoRR, 2021

Hardware Acceleration for GCNs via Bidirectional Fusion.
IEEE Comput. Archit. Lett., 2021

Alleviating Imbalance in Synchronous Distributed Training of Deep Neural Networks.
Proceedings of the 2021 IEEE Intl Conf on Parallel & Distributed Processing with Applications, Big Data & Cloud Computing, Sustainable Computing & Communications, Social Computing & Networking (ISPA/BDCloud/SocialCom/SustainCom), New York City, NY, USA, September 30, 2021

The Application of Big Data in Chemistry Classroom Teaching.
Proceedings of the Cyber Security Intelligence and Analytics, 2021

2020
Pixel-Semantic Revise of Position Learning A One-Stage Object Detector with A Shared Encoder-Decoder.
CoRR, 2020

An Efficient Multicast Router using Shared-Buffer with Packet Merging for Dataflow Architecture.
Proceedings of the 14th IEEE/ACM International Symposium on Networks-on-Chip, 2020

Accelerating Sparse Convolutional Neural Networks Based on Dataflow Architecture.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2020

CTA: A Critical Task Aware Scheduling Mechanism for Dataflow Architecture.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2020

Constrained Double Deep Q-learning Network for EVs Charging Scheduling with Renewable Energy.
Proceedings of the 16th IEEE International Conference on Automation Science and Engineering, 2020

2019
PIM-WEAVER: A High Energy-efficient, General-purpose Acceleration Architecture for String Operations in Big Data Processing.
Sustain. Comput. Informatics Syst., 2019

A Sharing Path Awareness Scheduling Algorithm for Dataflow Architecture.
Proceedings of the 21st IEEE International Conference on High Performance Computing and Communications; 17th IEEE International Conference on Smart City; 5th IEEE International Conference on Data Science and Systems, 2019

2018
A Pipelining Loop Optimization Method for Dataflow Architecture.
J. Comput. Sci. Technol., 2018

A Non-Stop Double Buffering Mechanism for Dataflow Architecture.
J. Comput. Sci. Technol., 2018

WEAVER: An Energy Efficient, General-Purpose Acceleration Architecture for String Operations in Big Data Applications.
Proceedings of the IEEE International Conference on Parallel & Distributed Processing with Applications, 2018

Runtime verification of memory safety via source transformation.
Proceedings of the 40th International Conference on Software Engineering: Companion Proceeedings, 2018

Accelerating CNN Algorithm with Fine-Grained Dataflow Architectures.
Proceedings of the 20th IEEE International Conference on High Performance Computing and Communications; 16th IEEE International Conference on Smart City; 4th IEEE International Conference on Data Science and Systems, 2018

SmarCo: An Efficient Many-Core Processor for High-Throughput Applications in Datacenters.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018

2017
An Efficient Network-on-Chip Router for Dataflow Architecture.
J. Comput. Sci. Technol., 2017

Weighted Sum-Throughput Maximization for Energy Harvesting Powered MIMO Multi-Access Channels.
CoRR, 2017

A novel MAC protocol for wireless network using multi-beam directional antennas.
Proceedings of the 2017 International Conference on Computing, 2017

2016
ACCC: An Acceleration Mechanism for Character Operation Based on Cache Computing in Big Data Applications.
Proceedings of the 18th IEEE International Conference on High Performance Computing and Communications; 14th IEEE International Conference on Smart City; 2nd IEEE International Conference on Data Science and Systems, 2016

A framework for energy-efficient optimization on multi-cores.
Proceedings of the Seventh International Green and Sustainable Computing Conference, 2016

2015
MBDMAC: A MAC Protocol for Multi-beam Directional Antennas in Wireless Networks.
Proceedings of the 12th IEEE International Conference on Mobile Ad Hoc and Sensor Systems, 2015

A high-density data path implementation fitting for HTC applications.
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015

Thread ID based power reduction mechanism for multi-thread shared set-associative caches.
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015

Energy-aware real-time scheduling on Heterogeneous Multi-Processor.
Proceedings of the 49th Annual Conference on Information Sciences and Systems, 2015

2014
CRANarch: A feasible processor micro-architecture for Cloud Radio Access Network.
Microprocess. Microsystems, 2014

Optimal transmission policy for energy-harvesting powered MIMO multi-access channels.
Proceedings of the 2014 IEEE/CIC International Conference on Communications in China, 2014

2011
A MEMS inertial sensor and AMR magnetic sensor calibration method.
Proceedings of the 8th International Conference on Information, 2011

2008
An Adaptive Cross-Layer Scheduling Algorithm for Multimedia Networks.
Proceedings of the 4th International Conference on Intelligent Information Hiding and Multimedia Signal Processing (IIH-MSP 2008), 2008

2007
A non-preemptive scheduling algorithm for soft real-time systems.
Comput. Electr. Eng., 2007

2005
An Efficient Non-Preemptive Real-Time Scheduling.
Proceedings of the ISCA 18th International Conference on Parallel and Distributed Computing Systems, 2005


  Loading...