Yi-Yu Liu

Orcid: 0000-0002-6703-004X

According to our database1, Yi-Yu Liu authored at least 21 papers between 2001 and 2023.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Enhanced and Efficient Guiding Template Design for Lamellar DSA With Graph Monomorphism.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

ILP-based Substrate Routing with Mismatched Via Dimension Consideration for Wire-bonding FBGA Package Design.
ACM Trans. Design Autom. Electr. Syst., September, 2023

2021
TSE: Two-Step Elimination for MLC STT-RAM Last-Level Cache.
IEEE Trans. Computers, 2021

2020
Guiding Template Design for Lamellar DSA with Multiple Patterning and Self-Aligned Via Process.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

2017
A Novel Cache-Utilization-Based Dynamic Voltage-Frequency Scaling Mechanism for Reliability Enhancements.
IEEE Trans. Very Large Scale Integr. Syst., 2017

2016
A novel cache-utilization based dynamic voltage frequency scaling (DVFS) mechanism for reliability enhancements.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2014
Buffer Design and Assignment for Structured ASIC.
J. Inf. Sci. Eng., 2014

Hybrid LUT and SOP Reconfigurable Architecture.
J. Inf. Sci. Eng., 2014

2013
Routability optimization for crossbar-switch structured ASIC design.
ACM Trans. Design Autom. Electr. Syst., 2013

Memory management for dual-addressing memory architecture.
IEICE Electron. Express, 2013

Dual-addressing memory architecture for two-dimensional memory access patterns.
Proceedings of the Design, Automation and Test in Europe, 2013

2010
Performance-Driven Dual-Rail Routing Architecture for Structured ASIC Design Style.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Identifying Prostate Cancer-Related Networks from Microarray Data Based on Genotype-Phenotype Networks Using Markov Blanket Search.
Proceedings of the 10th IEEE International Conference on Bioinformatics and Bioengineering, 2010

2009
Buffer design and optimization for lut-based structured ASIC design styles.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

Performance-driven dual-rail insertion for chip-level pre-fabricated design.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
Wire Sizing Alternative - An Uniform Dual-rail Routing Architecture.
Proceedings of the Design, Automation and Test in Europe, 2008

2007
Crosstalk-Aware Domino-Logic Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

2006
Crosstalk minimization in logic synthesis for PLAs.
ACM Trans. Design Autom. Electr. Syst., 2006

2004
Crosstalk Minimization in Logic Synthesis for PLA.
Proceedings of the 2004 Design, 2004

2001
Binary decision diagram with minimum expected path length.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

A construction of minimal delay Steiner tree using two-pole delay model.
Proceedings of ASP-DAC 2001, 2001


  Loading...